1 / 23

2003 ITRS Factory Integration Update Shige Kobayashi, Renesas Jeff Pettinato, Intel

2003 ITRS Factory Integration Update Shige Kobayashi, Renesas Jeff Pettinato, Intel. Agenda Scope and Global Landscape Difficult Challenges Manufacturing Strategy Evolution Technology Requirements Attributes of a 90nm -> 45nm Factory Some Integrated Potential Solutions:

sheila
Download Presentation

2003 ITRS Factory Integration Update Shige Kobayashi, Renesas Jeff Pettinato, Intel

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 2003 ITRS Factory Integration UpdateShige Kobayashi, RenesasJeff Pettinato, Intel • Agenda • Scope and Global Landscape • Difficult Challenges • Manufacturing Strategy Evolution • Technology Requirements • Attributes of a 90nm -> 45nm Factory • Some Integrated Potential Solutions: • Direct Transport, Process Control, Engineering Chain • Industry Research Needed • Key Messages

  2. Excellent Participation from Suppliers, IC Makers, Universities, and Research Institutes Many Participants from Around the World have Contributed

  3. UI Factory Integration Scope and Drivers Factory Operations Production Equipment Factory Information & Control Systems Facilities AMHS Si Substrate Mfg Chip Mfg Wafer Mfg Product Mfg Distribution Reticle Mfg • FEOL • BEOL • Probe/Test • Singulation • Packaging • Test Increasing cost & Cycle time implications • Factory is driven by Cost, Productivity, and Speed: • Reduce factory capital and operating costs per function • Faster delivery of new and volume products to the end customer • Efficient high volume production, high reliability, & high equipment reuse • Enable rapid process technology shrinks and wafer size changes

  4. (*) Operating Fabs (+) Fabs under development (~) Announced Fabs (#) Joint Venture TD Pilots Note: Not an exhaustive list 300mm Global Fab LandscapeNot an Exhaustive List… Korea * Samsung L11 Pilot + Samsung L12/L13 Europe * Infineon SC300 + STM Catania (HVM) +# Crolles TD JV (STM, Philips, Moto, TSMC) + Intel Fab 24 Japan *# Renesas Logic JV (Hitachi TTI) * Sony Kyushu (CCD) ~ Sony Kumamoto ~ Sony Fab 2 Nagasaki ~ Toshiba Oita ~ Toshiba Yokkaichi City + Elpida DRAM JV (NEC Hiroshima) +# Aspla 90nm TD JV (NEC Sagamihara) # Asuka 65nm TD JV United States * IBM Fishkill * TI DMOS6 * Intel D1C * IntelF11x + IntelD1D ~ Intel F12C Taiwan + Inotera Memories (Nanya + Infineon) * Powerchip Fab 1 * ProMOS Fab 2 * TSMC Fab 12 + TSMC Fab 14 * UMC Fab 12A Singapore + UMC-I (Infineon JV) Global focus is still on development as the primary activity (vs. full production)

  5. E=Economic/Business P=Process Technology M=Manufacturing Difficult Challenges Summary Near Term: 2003 to 2009 >45nm • Responding to rapidly changing and complex business requirements [E] • Meeting growth targets while margins are declining [E] • Managing ever increasing factory complexity [M] • Meeting factory and equipment reliability, capability or productivity requirements per the roadmap [M] • Meeting the Flexibility, Extendibility, and Scalability needs of a cost effective, leading edge factory [M] • Meeting process requirements at 65nm and 45nm nodes running production volumes [P] • Increasing global restrictions on environmental issues [E] Long Term: 2012 to 2018 <45nm • Post conventional CMOS manufacturing uncertainty [P] • Next Wafer Size and Emerging factory paradigm changes [M] Economic and business challenges seem equal to our manufacturing and process technology challenges in scope and breadth

  6. Manufacturing Strategy Evolution Speed and environment center-minded Throughput center-minded Specific Tech. Level TR (Eq., AMHS, FICS) Effort Effort Specific Tech. Potential Solution (Eq., AMHS, FICS) Factory Operation Requirement Enterprise Level Requirement Current values Next generation Values Wider coverage needed

  7. Search for New Metrics • Carrier Delivery Time Facility ECM Equipment Control Technical Element Level • AMHS T/F Design • AMHS MTTR & MCBF AMHS SCM FICS • Eq. Availability & Utilization Eq. Process Speed Production Flexibility • X Factor Factory Operation Level • NPW Activity • NPW-Start Usage Development Speed ES & H NPW Control • Productivity • Lot Cycle Time • Productivity and flexibility measure for high mix Production Methodology and Constraints • Consumables and cost reduction Enterprise Level Line capability strategy • Floor Space Effectiveness • Energy saving Product Development

  8. More on Speed Agile manufacturing More on Environment Seek Higher Visibility Newer Requirements (Difficult Challenges) • Newer visualization scheme needed • Example1: More of fab floor activity for better governance • Example2: of B factor in y=Ax+B equation for B reduction • Exmaple3: More of fab energy consumption for resource consumption reduction • Need newer metrics and domain concept into production CIM frame work Visualization, Fab Transparency Potential Implementation Solution (System and Contents) • Accomodate various production methodology values in ITRS messages

  9. Factory Operations Technical Requirements Focus is on 1) Lot Cycle time and the X Factory, 2) Equipment Utilization, 3) High Mix Degradation, 4) High Mix Capabilities

  10. Mix Driven Productivity Loss Reduced Effective Capacity New Toolset Performance Curve Increased X-factor or Original Toolset Reduced Throughput Loss of Capacity X-FACTOR (All Reasons) Daily Going Rate (DGR) Using X-Factor to Drive Factory Efficiency • Objective is to understand factors that reduce efficiency and then ensure equipment and systems are designed to address the losses • How do we reduce effects of AMHS, equipment and other variations on efficiency • This is leading to other discussion on how to reduce mix driven productivity losses (ex: equipment design for rapid product change) X-factor is the total cycle time (queue time + hold time + raw process time + travel time) divided by the Raw Process Time

  11. Production Equipment Technical Requirements Focus is on 1) NPW’s, 2) Reliability, 3) High Mix Capabilities, 4) Throughput

  12. Material Handling Technical Requirements 2003 Focus Topics: 1) Throughput for Traditional and Unified Transport, 2) Average Delivery times, and 3) Reliability 2004+ Focus will add on-time delivery metrics and capabilities

  13. Factory Info & Control Technical Requirements Focus is on 1) Reliability, 2) Factory Throughput, 3) Mask Shop Cycle Time, 4) Adjusting process at the wafer level

  14. Facilities Technical Requirements Focus is on 1) Point of Use vs. Fab wide, 2) Cost reduction through reuse

  15. Attributes of Future 300mm Fabs (90nm  45nm) • New Process Intercepts • 157nm? and NG Litho • High K gate stack • Low k dielectrics • New Materials Fab Capital Costs Very High!! Wafer Data Standard For Packaging On-line Specs & Tool Maintenance Manuals Faster Cycle time Fabs for Hot Lots & High Mix Predicative, Efficient Spares Management 100% Direct Transport AMHS for Fast Cycle Time Data standards and Systems for Rapid Mask Set Creation Aggressive NPW Reduction Systems Scaled for Large Size (50k wspm) Wafer Level Tracking and Recipe/Parameter Changes Standard Facilities (Gas, Power, etc.) Connections Large Scale Process Control Systems Manufacturing Execution Systems Equipment Engineering Capabilities (EEC) Equipment Control Systems Rapid Process Matching SECS Control Line APC FDC SPC Recipes Factory Scheduler And Material Control Yield PCS E-Diag EPT Equipment Data Diagnostic Data Acquisition (DDA) for Rich Standard Equipment Data Offline tools to test schedule rules and rapidly put in Mfg Pervasive E-Diagnostics Partner, Customer Or Supplier Standard, Detailed Equipment Performance Tracking (EPT) Data

  16. Future Process Control System (PCS) Attributes • Equipment Capabilities • Standardized data and connectivity • High data transfer rates • Graceful fault recovery • Ability to change parameters and values between wafers • Wafer Level tracking and control Integrated Data & Systems APC FDC SPC Equipment Control Systems SECS Control Line Yield PCS Manufacturing Execution Systems Equipment Data Equipment Data Acquisition (EDA) for Rich Standardized Data • System Capabilities • Data Sharable between PCS applications • High data transfer rates • Single point configurations • Integrated yield, process control, and operational systems • Rapid PCS application development EDA Goal 10 chambers 50 variables per chamber 10 Hz rate each 10,000 values per sec Today 10 chambers 10 variables per chamber 3 Hz rate each 300 values per sec

  17. Inter-Bay AMHS Key Indicator Transfer Throughput Intra and Inter Separate System Intra-Bay Intra-Bay Equipment View H/W Efforts Reduce WIP Transfer Time (Ave & Max) Unified System (Dispatcher Base) Push Pull Re-Route Ave & Max Time S/W Efforts Lot View Schedule WIP On-Time Delivery Punctuality (On-Time) Unified System (Scheduler Base) Capacity Planning Wafer Level Tracking AMHS is Changing to an On-Time Delivery System

  18. S1 S2 S3 S4 S5 S6 S7 S8 T1 T2 T3 T4 T5 T6 T7 T8 S1 S2 S3 S4 S5 S6 S7 S8 T1 T2 T3 T4 T5 T6 T7 T8 Direct Tool to Tool Transport Is Needed by 2005 Several AMHS Mechanical & Layout Design Concept Options being considered • Objectives: • Reduce product processing cycle time • Increase productivity of process tools • Reduced storage requirements (# of stocker) • Reduced total movement requirements • Priorities for Direct Delivery: • Super Hot Lots (< 1% of WIP) & Other Hot Lots (~5% of WIP) • Ensure bottleneck equipment is always busy • Capability Needs • Tools indicate that WIP is needed ahead of time • Event driven dispatching • Transition to a delivery time based AMHS • Integrated factory scheduling capabilities • Timing • Research Required 2001-2003 • Development Underway 2003-2005 • Qualification/Pre-Production 2004-2006 Fully Connected OHV OHV with Interbay Transport Partially Connected OHV With Conveyor Interbay

  19. Part of Supply Chain Part of Supply Chain Not Engineering or Supply Chain Legend New Products Need Faster Customer Delivery • Challenge: Customers want new products delivered much faster • Key Concept: The Engineering Chain integrates rapid data exchange from design to new chip delivery to the customer to ensure customer cycle times are met • Engineering Chain = Design  Reticle  Process Integration  Customer  High Volume • Different from supply chain management which focuses on volume production Data Transfer Planning and parallel activities to deliver Process Development Product Design Mask Fabrication Packaging and Test Customer Evaluation Wafer Fab Data Transfer Data Transfer This is a Supply Chain Task Data Transfer Volume Run Design Fix Design Improvement

  20. Mass Production Supply Chain (O2D) Factory Order WO Shipping MES Sales SCP Promise WIP Commerce Data Eqpt. Supplier e-Diag Eqpt. Devmn’t Maintenance Support Product Development Eqpt. Configuration APC Engineering Chain (T2M) EE Data Recipe Design Mask Devmn’t Process Devmn’t YMS EES Engineering Data Potential Solutions to Accelerate New Products • Faster data exchange using standard data models and structures between major operations • Improved methods and capabilities to match the process to the product on time • Improve execution and process control systems in Mask Shops to delivery masks with 0% excursions (improved systems, richer equipment data, etc.)

  21. Capturing More Fab Floor Engineering Activity • Equivalent amount of control activity is taking place on fab floor to On-Line control More focus for agile manufacturing Resource Consumption Management Current center of interest Time dependent performance change and compensation Physical Structure base control Eq. Process control info. Eq. Process performance adj info. Module Flow Process Steps Machine-to-Machine Difference and Adjustment Target values (Recipe and major parameters) A B Eq. A Interpretation into what equipment can execute C NPW Management and Control D Eq. B Process Engineering Detailed Eq. Status info. Chamber wet cleaning and Specification Device structure Optimization Control Information Eq. Maint. and Rules Manufacturing Experience F/F APC

  22. Potential Solutions driving R&D Agenda • Finalize Equipment Diagnostic Acquisition (EDA) standards and implement by 65nm / 45nm technology nodes to enable advanced, pervasive FDC and run to run process control • Rapid tool to tool carrier delivery for hot lots using direct transport AMHS with integrated scheduling & dispatching software • AMHS is becoming an on time delivery • Engineering chain management systems and standards that will accelerate new product delivery to customers • Improved process equipment design to reduce impacts from high mix operations and operate with high reliability • Factory capacity planning and supply chain management systems integrated with actual factory data • Fast flexible factory modeling tools integrated with factory operations software systems for what-if analyses are needed

  23. Key Messages • Business strategies, market demands, and process technology changes continue to make factories difficult to integrate • Economic and business challenges areequal to our manufacturing and process technology challenges • Gaps in Production Equipment OEE, Factory NPW usage, and Factory modeling still must be improved • Factory’s speed and flexibility are gaining more attention to accommodate various production methodology • Intense investigation of enterprise and FO level requirements to meet newer production methodology is required In addition to the current requirement • More industry alignment and standard development are to be continued

More Related