1 / 14

ITRS Factory Integration Presentation

ITRS Factory Integration Presentation. Arieh L. Greenberg April 2005. Global Co-Chairs: Europe: Arieh Greenberg Japan: Shige Kobayashi, Michio Honma Korea: TBD Taiwan: Thomas Chen US: Mani Janakiram. Agenda. Scope and Difficult Challenges FI Current Status Technology Requirements

barny
Download Presentation

ITRS Factory Integration Presentation

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ITRS Factory Integration Presentation Arieh L. Greenberg April 2005 Global Co-Chairs: Europe: Arieh Greenberg Japan: Shige Kobayashi, Michio Honma Korea: TBD Taiwan: Thomas Chen US: Mani Janakiram

  2. Agenda • Scope and Difficult Challenges • FI Current Status • Technology Requirements • Manufacturing Strategy Evolution • Top Factory Integration Focus Areas • Summary

  3. Factory Integration Scope and Drivers UI Factory Operations Production Equipment Factory Information & Control Systems AMHS Facilities Si Substrate Mfg Chip Mfg Wafer Mfg Product Mfg Distribution Reticle Mfg • FEOL • BEOL • Probe/Test • Singulation • Packaging • Test Increasing cost & Cycle time implications • Factory is driven by Cost, Productivity, and Speed: • Reduce factory capital and operating costs per function • Faster delivery of new and volume products to the end customer • Efficient high volume production, high reliability, & high equipment reuse • Enable rapid process technology shrinks and wafer size changes

  4. Key Technologies that will Impact Factory Design • 2004 captured Best in Class 300mm data. 2005 and future years are targeted to meet productivity and capture technology requirements • Key process and device technology intercepts that will impact the factory design are Extreme Ultraviolet Litho (EUVL), New Device Structures, and the next wafer size conversion (likely 450mm) Start Planning for 450mm Started discussions EUVL in Production? New Device Structures? Next Wafer Size in Production Need further discussions

  5. E=Economic/Business P=Process Technology M=Manufacturing Difficult Challenges Summary Near Term: 2005 to 2009 >45nm • Responding to rapidly changing and complex business requirements [E] • Meeting growth targets while margins are declining [E] • Managing ever increasing factory complexity [M] • Meeting factory and equipment reliability, capability or productivity requirements per the roadmap [M] • Meeting the Flexibility, Extendibility, and Scalability needs of a cost effective, leading edge factory [M] • Meeting process requirements at 65nm and 45nm nodes running production volumes [P] • Increasing global restrictions on environmental issues [E] Long Term: 2012 to 2018 <45nm • Post conventional CMOS manufacturing uncertainty [P] • Next Wafer Size and Emerging factory paradigm changes [M] [E] Economic and business challenges are equal to our manufacturing and process technology challenges in scope and breadth

  6. Some Projected Attributes of a 300mm < 45nm Fab Wafer Data Standard For Packaging Data standards and Systems for Rapid Mask Set Creation Very Fast Cycle time Fabs for Hot & Normal lots Equipment & Systems designed for High Mix operation 100% Direct Tool Delivery AMHS Aggressive NPW Reduction & Efficient Spares Mgmt Full Wafer Level Tracking & Recipe/Parameter Changes Systems Scaled for > 50k wspm Ubiquitous APC; Rapid Process Matching & New Product Qualification Manufacturing Execution Systems Equipment Engineering Capabilities Equipment Control Systems SECS Control Line Equipment & Process Data APC FDC SPC Recipes Factory Scheduler And Material Control Yield PCS E-Diag EPT Equipment Data Acquisition (EDA) Standards to get Rich Equipment Data Offline tools to test schedule rules and rapidly put in Mfg Partner, Customer Or Supplier Standard, Detailed Equipment Performance Tracking (EPT) Data Pervasive E-Diagnostics

  7. FI Sub team – April 2005 Status Factory Integration has made good progress on technology requirements, focus areas and cross-cut challenges

  8. 2005 FI Focus Area Factory Integration focus areas: AMC, 450mm, and Visual Mfg

  9. AMC Requirements - Current status • AMC limits are currently addressed in the Yield Enhancement YE TWG, and the Wafer Environmental Contamination Control WECC subTWG • Fab environment requirements have not been defined yet in the FI TWG Factory Integration Roadmap Yield Enhancement Roadmap Fab environment Technology Requirements Wafer/Tool environment Wafer

  10. Proactive Visual Manufacturing • Sharing data with adjacent layers enable collaborations between the adjacent layers • cascading this scheme will assure integration of quality assurance and allow cyclic improvement & traceability in the structure

  11. 675mm/2021? 200mm/1990 (125/150mm - 1981) 300mm/2001 450mm/2012? 9 yrs + ?yrs delay 9 yrs + 2 yrs delay* We started discussions for next wafer size transition When does this happen? We are here 9 yrs? + 2 yrs delay?

  12. Examples of Next wafer size Technology Decisions that are Needed

  13. Interoperability Testing & Reliability Verification Factory Control System Standards Direct Transport Standards Production Equipment Standards 450mm wafer Standards 2004 2005 2006 2007 2008 2009 2010 2011 2012 Carrier & lot-size determination 2004 2005 2006 2007 2008 2009 2010 2011 2012 Proposed 450mm Wafer Transition Timeline Not final. Need Further discussions • Working on business motivation and mfg. requirements for 450mm transition

  14. Key Messages • Business strategies, market demands, and process technology changes continue to make factories difficult to integrate • Economic and business challenges areequal to our manufacturing, environment and process technology challenges • Gaps in Production Equipment performance, Factory NPW usage, and Factory modeling still must be improved Metrics needed to cover versatility, productivity, agility, quality, environment compatibility • Factory’s speed and flexibility are gaining more attention to accommodate various production methodologies High Mix, Cycle time improvement, equipment utilization, direct transport AMHS, etc. • Common platform for solutions and standard developments should be continued • Key focus areas identified and we need to start addressing these areas 450mm, Proactive Visual Mfg, AMC, etc.

More Related