1 / 35

PFC Reduction Technologies: Destruction Recovery Optimization

PFC Reduction Technologies: Destruction Recovery Optimization. Phyllis Pei, Walter Worth Sematech Semicon/Korea 1997  1999 Arizona Board of Regents for The University of Arizona. Outline. General Comments on PFC Abatement PFC Destruction: Combustion Chemical/Thermal Plasma

stesha
Download Presentation

PFC Reduction Technologies: Destruction Recovery Optimization

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. PFC Reduction Technologies: Destruction Recovery Optimization Phyllis Pei, Walter Worth Sematech Semicon/Korea 1997  1999 Arizona Board of Regents for The University of Arizona

  2. Outline • General Comments on PFC Abatement • PFC Destruction: • Combustion • Chemical/Thermal • Plasma • Recovery/Recycle • Optimization

  3. SEMATECH GLOBAL WARMINGPROGRAM OBJECTIVE Decrease the use and reduce the emissions of potential global warming semiconductor processing materials; specifically the perfluorocompounds (PFCs), such as CF4, C2F6, NF3, SF6 and substituted PFCs such as CHF3

  4. Typical FAB Atmosphere PFC’s CF4 C2F6 NF3 SF6 Vacuum System Fab Scrubber (water) Etch or CVD Tool N2 Purge

  5. Project Strategy Alternative * Chemicals Process * Optimization Global Warming Gas Reduction Destruction/ * Decomposition Recovery * & Recycle Thermal/ Chemical Combustion * Plasma *

  6. Typical Etch and CVD Systems Atmosphere N2 Scrubber L/min PFC Chamber Pre-Pump Treatment Abatement Pump cc/min Optimization Combustion Chem/Thermal Absorption Recovery/Recycle Plasma Destruction Alternatives

  7. Example Conversions in Chamber Clean Applications * % Consumed in Tool 5 30 60 60 40 20 % Emitted 95 70 40 40 60 80 PFC CF4 C2F6 C3F8 NF3 CHF3 SF6 * Actual values may vary significantly based on process, tool, recipe, etc.

  8. Technology Options Process Optimization Recovery/Recycle Greener, Preferred Technology Commercial Availability Alternative Chemicals Plasma Destruction Thermal/Chemical Reaction Combustion

  9. Combustion PFC Abatement Options / Devices Plasma Destruction Chemical/Thermal Destruction CS Systems Edwards GRC Kanto Denka MIT Microwave* ETC DryScrub* Texas A&M rf surface wave * Los Alamos Pulsed Corona * PRC * Centrotherm Flawamat DAS Escape Delatech CDO* EcoSys Guardian EcoSys Phoenix* Edwards TPU* * Evaluation supported by SEMATECH

  10. Typical Etch and CVD Systems Atmosphere N2 Scrubber L/min PFC Chamber Pre-Pump Treatment Abatement Pump cc/min Optimization Combustion Recovery/Recycle Plasma Destruction Alternatives Chem/Thermal Absorption

  11. Main Features of a Combustion Device a. A combustion chamber followed by water scrubber in POU cabinet b. Handles up to four tool chambers (200 lpm), each with separate inlet to avoid mixing reactive materials such as silane and NF3 c. Uses either methane (CH4) or hydrogen (H2) as fuel d. Operates in 850-1000 ºC range for CF4 destruction, the most difficult to combust

  12. Main Features of a Combustion Device (Cont’d) e. The best can achieve > 95% destruction for all PFCs f. Combustion by-products include: COF2, HF, NOX, CO, CO2 g. Integral scrubber uses 6-8 gpm of water to remove HF, COF2 and heat of combustion h. Foot print approx. 24” x 36” x (60” high)

  13. Concerns with PFC Abatement a. Difficult for PFCs, especially CF4, due to stability b. Often creates other environmental problems: NOx and HAPs c. Generally expensive d. Potential safety concerns: combustion, fuel gas, high temperatures and toxic byproducts e. May impact process tool uptime f. Fab floor space limitations

  14. Combustion Technology • Strengths • Industry is familiar with combustion • Industry is currently using “burn boxes” to treat pyrophorics • One device may be able to abate pyrophorics and PFCs • Most devices have an integral scrubber to remove HF • Technology is closest to being production-worthy • Issues • Need open flame and high temperatures (> 850 ºC) to break down PFCs • Produces HF, CO2 (more GW gas) & NOx (in some cases) • Costs for fuel and water (for scrubber) are significant • Fab may not be piped for fuel • Uses valuable fab floor space • Cost of ownership is high ($50-$100K)/year

  15. Typical Etch and CVD Systems Atmosphere N2 Scrubber L/min PFC Chamber Pre-Pump Treatment Abatement Pump cc/min Optimization Combustion Recovery/Recycle Plasma Destruction Alternatives Chem/Thermal Absorption

  16. Main Features of Chemical/Thermal Device a. Gases are chemisorbed on reactive granular solids b. Chemistry is generally trade secret c. Solids are held in disposable or reusable stainless steel cartridge d. Solids are electrically heated to 300-500 °C e. PFCs are converted to stable, non-hazardous salts f. Solids are replaced when the bed is exhausted g. Footprint of dual cartridge cabinet is 30” x 57” (72” high) h. Limited ability to destroy CF4 in some cases

  17. Example: CS System a. A packed-bed reactor filled with iron-based granules b. Irreversible chemisorption on catalytically active absorbents c. Laboratory tests show it can treat all PFCs (99% DRE) d. Conversion of PFCs to stable, non-hazardous salts e. Capacity for CF4 and C2F6 not yet known f. Operating temperatures are 300 - 450 °C g. Foot print for dual bed cabinet: 57” x 30” x 71” (H)

  18. Chemical/Thermal Destruction Technologies • Issues • Cartridge has limited capacity, may only be suitable for etch tools (low PFC flow rates) • Packed bed reactor issues (e.g., plugging, break-through, pressure drop) • Disposal of solids is a concern (e.g., long term liability of landfilled waste) • Cost of ownership is high • Uses valuable fab floor space • Strengths • Reaction product is a non-hazardous solid that can be landfilled • Industry is familiar with concept (technology is used in fabs today to treat toxic hydrides) • Low energy cost • It is a passive system; no moving parts • Scalable, and can accommodate intermittent operations

  19. Typical Etch and CVD Systems Atmosphere N2 Scrubber L/min PFC Chamber Pre-Pump Treatment Abatement Pump cc/min Optimization Combustion Chem/Thermal Absorption Recovery/Recycle Alternatives Plasma Destruction

  20. Example: ETC DryScrub a. RF plasma device normally installed downstream of the tool and ahead of the vacuum pump b. Electrode consists of multi-turn, high-conductance spirals that form the path for the gas flow (45 sq. ft. of surface area) c. The residual process gases leaving the tool are reacted to depletion as they pass through device d. The electrode is both the reaction and collection chamber for the solid products formed from the reaction e. The solids-laden electrode is replaced and discarded

  21. Plasma Destruction Technology • Issues • Commercially available RF plasma devices have shown limited effectiveness for PFCs • May require microwave plasma based on successful laboratory tests • Technology still in experimental phase • May not be transparent to process tool • May require additional water scrubber • Strengths • Industry is familiar with plasma technology • Treats tool exhaust upstream of pump (no N2 dilution form vacuum pump) • Promises to have low cost of ownership • Products of destruction may all be water-scrubbed

  22. Status of PFC Abatement Technology a. Suppliers are working feverishly to develop new devices and improve existing ones. b. Chip manufacturers have committed significant resources to evaluate the systems under development. c. Progress is slow, but significant progress has already been made and further advances are on the horizon. d. Combustion technology is the most advanced and has the most players. e. Packed bed, thermal/chemical destruction is becoming viable. f. Plasma decomposition is still in the experimental phase.

  23. Typical Etch and CVD Systems Atmosphere N2 Scrubber L/min PFC Pre-Pump Treatment Chamber Abatement Pump cc/min Optimization Combustion Chem/Thermal Absorption Recovery/Recycle Alternatives Plasma Destruction

  24. PFC Recovery Technologies • Membrane Separation (Air Liquide) • Pressure Swing Absorption (Air Products/Radian, BOC) • Cryogenic Extraction (Praxair/Ecosys)

  25. Example: MEGASORBTM Approach a. Process developed by Air Products & Dow Environmental (now Radian International LLC) b. Uses SORBATHENETM resins proven for VOCs c. Captures all PFCs for central abatement, recovery and/or purification d. Flexible for varying recipes, fab sizes, purity levels, flow rates e. Synergistic with MEGASYSTM onsite services and analytical expertise f. Demonstrated recovery >99% possible

  26. MEGASORB ™ Process Flow Scheme Sorbathene PSA Pretreatment Dryer Wet Scrubber Process Chamber Condenser Packaging Cryo Distillation

  27. Recycling/Recovery Technology • Issues • Requires pre-treatment of gases prior to recovery • Repurifying PFCs to “like new” may not be cost effective • Need to replumb PFC exhaust to a common manifold • NF3 and CF4 have almost identical boiling points - separation may be difficult • Low concentration of recovered PFC using pressure swing absorption • Strengths • Closed loop system with no PFC emissions • Recovers costly PFC gases • High recovery using currently available technologies • Purification uses proven cryogenic techniques

  28. Typical Etch and CVD Systems Atmosphere N2 Scrubber L/min PFC Pre-Pump Treatment Chamber Abatement Pump cc/min Combustion Chem/Thermal Absorption Optimization Alternatives Recovery/Recycle Plasma Destruction

  29. Process Optimization Example • IBM Burlington optimized the TEOS & PSG CVD processes • Used endpoint detection (Fourth State RF metrology) to optimize two-step clean; PFC reduction was not the major objective • Achieved the following : • 50% reduction in C2F6 usage • 100% reduction in NF3 usage • 25% reduction in chamber consumable parts • increased throughput • Implementation in one fab produced annual savings of: • $1.2 M in added throughput • $300 K in chemicals

  30. Validation of C3F8 as Drop-in Replacement for C2F6 • Project Tasks: • Perform Design of Experiments (DOE) to compare C3F8 vs C2F6 • Marathon wafer run to monitor TEOS film properties (particle count, stress, thickness uniformity) • Compare PFC emission and etch gas utilization

  31. C3F8 Tests at AMD • C3F8 DOE optimization conditions: • 1000 sccm C3F8, 1400 sccm O2 and 3.6 torr • With C3F8: • C3F8 flow is 60% less (45% fewer pounds) • O2 flow is 30% less • etch gas utilization = 60-70% (vs 30-40% for C2F6) • net greenhouse gas reduction = 70% • No TEOS film or chamber hardware degradation

  32. Optimization • Issues • Requires experimentation on process, which may be too costly, risky, and time consuming • Needs beta test demonstration to transfer technology • May require equipment retrofit • Strengths • Most desirable, chemical and cost saving option • Good for CVD chamber clean applications • Equipment suppliers are active in this area

  33. Status of Technology • Alternative Chemistries • Most desirable, but probably most difficult • A long-term solution • Most likely flourine-based, less stable and more hazardous • MIT study underway to find suitable replacements • Schumacher, 3M, DuPont also doing R&D • Process Optimization • Very desirable • Reduces chemical cost & emissions, may increase throughput and prolong life of reactor internals • End-point detection and reactor modifications • Progress already made, room for more (reductions of 50% have been demonstrated on some processes)

  34. Status of Technology (cont’d) • Recovery/ Recycle of Unused PFCs • Preferred over abatement • “Greener” technology with potential pay-back • Significant appeal for larger fabs • Five gas companies are developing systems currently • Combustion • Abatment option closest to commercialization • Need flame and high temperatures to break down PFCs • Produces HF, NOx , COF2 and CO2 (more GW gas) • Fuel and water (for scrubber) costs are significant • Cost of Ownership ranges from $47 - $106K/year for device handling four chambers • Opportunities for suppliers to optimize

  35. Technology Status (cont’d) • Chemical/Thermal Destruction • Involves reacting the PFCs with granular solids • Technology is well developed for hydrides, but treating PFCs requires new chemistries and elevated temperatures • Cartridge has limited capacity, may only be suitable for etch tools • Disposal of spent solids a concern • Plasma Destruction • Advantage: treats tool exhaust upstream of pump (no N2 purge dilution) • MIT study showed excellent abatement of CF4, C2F6 & SF6 in a microwave plasma reactor • Commercially available RF plasma devices such as DryScrub and PRC are not effective • Requires water scrubber

More Related