1 / 9

ESH ITWG

ESH ITWG. 2001 ITRS Update Junichi Aoyama - JEITA (SONY) Jim Jewett - SIA (Intel) Coleen Miller - SIA (ISMT/TI). Maintain a balance between: Solutions to ESH requirements driven by non-regulatory elements such as regulations and public policy and,

Download Presentation

ESH ITWG

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ESH ITWG 2001 ITRS Update Junichi Aoyama - JEITA (SONY) Jim Jewett - SIA (Intel) Coleen Miller - SIA (ISMT/TI)

  2. Maintain a balance between: Solutions to ESH requirements driven by non-regulatory elements such as regulations and public policy and, Identification of technology driven ESH requirements which truly must be met to enable delivery of a given technology ESH Implementation

  3. Simplify ESH Methods Development and Segregate from Technology Requirements Update Potential Material Barriers to Technology Remove Routine Engineering Requirements Update Quantitative Metrics Changes to ESH for 2001

  4. Chemical & Material Assessments Need for quality rapid assessment methodologies to ensure that new chemicals can be utilized in manufacturing, while protecting human health, safety, and the environment without delaying process implementation Chemicals in existing uses require reassessment when new chemical restrictions are identified Key ESH Challenge #1

  5. Resource Conservation Natural Resource Conservation (Energy, Water) Need to design more energy and water efficient processing equipment Need to design more energy and water efficient processing equipment Chemicals And Materials Use Need more efficient utilization of chemicals, and materials Resource Recycling Increase resource reuse and recycling Key ESH Challenge #2

  6. ESH Requirements for Chemicals, Materials and Equipment Management

  7. Technology Requirements for Chemical, Materials and Equipment Management

  8. ESH Requirements for Resource Conservation

  9. Technology Requirements for Resource Conservation

More Related