1 / 38

On “Control Adaptivity”: how to sell the idea of Atomic Transactions to the HW design community

On “Control Adaptivity”: how to sell the idea of Atomic Transactions to the HW design community. Rishiyur Nikhil Bluespec, Inc. WG 2.8 meeting, June 16, 2008. Background: The BSV language (Bluespec SystemVerilog) is founded on composable Atomic Transactions (atomic rewrite rules).

africa
Download Presentation

On “Control Adaptivity”: how to sell the idea of Atomic Transactions to the HW design community

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. On “Control Adaptivity”: how to sell the idea of Atomic Transactions to the HW design community Rishiyur Nikhil Bluespec, Inc. WG 2.8 meeting, June 16, 2008

  2. Background: The BSV language (Bluespec SystemVerilog) is founded on composable Atomic Transactions (atomic rewrite rules). This talk is about how we’re slowly finding the vocabulary, the voice, the story to sell the idea to the HW design community (it’s taken several years!).

  3. Arguments that go over like a ton of bricks What’s “atomic”? Atomic Transactions are good because ... ...they’re new; modern; cool; different Thank you, but I don’t have the time ... it helps you reason about correctness using invariants What do you mean by “reason”? What’s an “invariant”? What’s “compositional”? ... they give compositional concurrency I don’t use “shared resources” (!!!) ... they give consistent access to your shared resources ... see how elegantly they solve the Dining Philosophers problem! Cute! But I can’t see the relevance to what I do. ... see how elegantly they solve the Santa Claus problem! ... they’re good for your soul Do I have a “soul”?

  4. In summary ... Atomic Transactions are good because ... ... (summarizing slides that follow) they make your designs control-adaptive. And here’s how that helps you in writing executable specs, in modeling, in refining models to designs, in reusable designs, and in maintainable and evolvable designs. Ah! I see! And maybe you should also tell me about atomicity, reasoning, invariants, compositionality, shared resources! ... and don’t forget about dining philosophers, Santa Claus and my soul!

  5. State Internal behavior External interface GCD in BSV module mkGCD (I_GCD); Reg#(int) x <- mkRegU; Reg#(int) y <- mkReg(0); rule swap ((x > y) && (y != 0)); x <= y; y <= x; endrule rule subtract ((x <= y) && (y != 0)); y <= y – x; endrule method Action start(int a, int b) if (y==0); x <= a; y <= b; endmethod method int result() if (y==0); return x; endmethod endmodule

  6. a b • en rdy start y_en x_en x y next state values sub predicates x rdy result !(=0) > swap? subtract? Generated Hardware swap? x_en = y_en = swap? OR subtract?

  7. a b • en rdy start start_en y_en x_en start_en x y x rdy result !(=0) > swap? subtract? Generated Hardware Module sub Control logic (muxes, conditions on muxes and enables) on shared resources (x and y) x_en = swap? y_en = swap? OR subtract? OR start_en OR start_en rdy = (y==0)

  8. a b • en rdy start start_en y_en x_en start_en x y x rdy result !(=0) > swap? subtract? Generated Hardware Module sub Control logic (muxes, conditions on muxes and enables) on shared resources (x and y) When coding this in Verilog, this control logic is explicit: always @(posedge CLK) if (start_en) x <= a; else if (swap_en) x <= y;

  9. Summarizing, we have Point 1: BSV’s Atomic Transactions (rules) are just another way of specifying control logic that you would have written anyway

  10. 0 2 1 +1 -1 +1 -1 x y cond0 cond1 cond2 Process priority: 2 > 1 > 0 Resource-access scheduling logic i.e., control logic always @(posedge CLK) begin if (cond2) y <= y – 1; else if (cond1) begin y <= y + 1; x <= x – 1; end if (cond0 && !cond1) x <= x + 1; end always @(posedge CLK) begin if (cond2) y <= y – 1; else if (cond1) begin y <= y + 1; x <= x – 1; end if (cond0 && (!cond1 || cond2) ) x <= x + 1; end Better scheduling * There are other ways to write this RTL, but all suffer from same analysis Fundamentally, we are scheduling three potentially concurrent atomic transactions that share resources. Note that control of x is affected by a “non-adjacent” condition (cond2), because of atomicity of the intervening process 1. This is fundamentally what makes RTL so fragile!

  11. 0 2 1 +1 -1 +1 -1 x y In BSV cond0 cond1 cond2 Process priority: 2 > 1 > 0 rule proc0 (cond0); x <= x + 1; endrule rule proc1 (cond1); y <= y + 1; x <= x – 1; endrule rule proc2 (cond2); y <= y – 1; endrule (* descending_urgency = “proc2, proc1, proc0” *)

  12. cond0 cond1 cond2 1 -1 +1 0 2 +1 -1 3 +2 -2 x y cond3 Process priority: 2 > 3 > 1 > 0 Now, let’s make a small change: add a new process and insert its priority

  13. Changing the BSV design cond0 cond1 cond2 Process priority: 2 > 3 > 1 > 0 1 -1 +1 0 2 +1 -1 3 +2 -2 x y cond3 Pre-Change (* descending_urgency = "proc2, proc3, proc1, proc0" *) rule proc0 (cond0); x <= x + 1; endrule rule proc1 (cond1); y <= y + 1; x <= x - 1; endrule rule proc2 (cond2); y <= y - 1; x <= x + 1; endrule rule proc3 (cond3); y <= y - 2; x <= x + 2; endrule (* descending_urgency = “proc2, proc1, proc0” *) rule proc0 (cond0); x <= x + 1; endrule rule proc1 (cond1); y <= y + 1; x <= x – 1; endrule rule proc2 (cond2); y <= y – 1; endrule ?

  14. Changing the Verilog design cond0 cond1 cond2 Process priority: 2 > 3 > 1 > 0 1 -1 +1 0 2 +1 -1 3 +2 -2 x y cond3 Pre-Change always @(posedge CLK) begin if ((cond2 && cond0) || (cond0 && !cond1 && !cond3)) x <= x + 1; else if (cond3 && !cond2) x <= x + 2; else if (cond1 && !cond2) x <= x - 1 if (cond2) y <= y - 1; else if (cond3) y <= y - 2; else if (cond1) y <= y + 1; end ? always @(posedge CLK) begin if (!cond2 && cond1) x <= x – 1; else if (cond0) x <= x + 1; if (cond2) y <= y – 1; else if (cond1) y <= y + 1; end

  15. A software analogy In assembler, .... In C .... g(x,y) ... decide register allocation, calls related! decide calling convention, f(...) decide register allocation The compiler does all the work

  16. A software analogy In assembler, .... In C .... g(x,y,z) ... decide register allocation, calls related! decide calling convention, f(...) decide register allocation The compiler redoes all the work all over again (groan!)

  17. In HW design In Verilog, .... In BSV .... module g ... decide control logic, commu- nicates with related! decide communications module f decide control logic The compiler does all the work

  18. In HW design In Verilog, .... In BSV .... module g’ ... decide control logic, commu- nicates with related! decide communications module f’ decide control logic The compiler redoes all the work all over again (groan!)

  19. Summarizing, we have Point 2: In RTL, control logic can be complex to write first time, and complex to change/maintain, because one needs to consider and reconsider non-local influences (even across module boundaries). BSV, on the other hand, is “control adaptive” because it automatically computes and recomputes the control logic as you write/change the design.

  20. MEMOCODE 2008 codesign contest http://rijndael.ece.vt.edu/memocontest08/ Goal: Speed up a software reference application running on the PowerPC on Xilinx XUP reference board using SW/HW codesign Application: decrypt, sort, re-encrypt db of records in external memory Time allotted: 4 weeks Xilinx XUP

  21. The “merger” has to be used repeatedly in order to mergesort the db A control issue: address generation and sequencing of memory reads and writes Observation: throughput in merger is limited by apex, so at each lower level, a single comparator can be shared  can fit a deeper merger into available space The winning architecture Record Input Sort Read Tree Memory Logic xor merger AES Cores ( 2 ) Memory Write xor Logic Record Output

  22. Merger: each level • Loop: • Choose non-empty input pair corresponding to output fifo with room (scheduling) • Compare the fifo heads • Dequeue the smaller one and put it on output fifo <

  23. Scheduler as a Module Parameter Level 1 merger: must check 2 input FIFOs Scheduler can be combinational Level 6 merger: must check 64 input FIFOs Scheduler must be pipelined  scheduler is a parameter of level merger

  24. MEMOCODE 2008 codesign contest 11X of runner-up (5x in 2007) Contest Results Reference: http://rijndael.ece.vt.edu/memocontest08/everybodywins/ (3 people, 3 weeks, direct to FPGA) Bluespec C + Impulse C C + HDL C 27 teams started the four week contest. 8 teams delivered 9 solutions.

  25. Summarizing, we have Point 3: Some control logic is too difficult even to contemplate without the support of atomic transactions

  26. Cyclic Extend Controller Scrambler Encoder Interleaver Mapper IFFT accounts for 85% area Another application of control adaptivity: parameterizing an 802.11a Transmitter headers 24 Uncoded bits data

  27. + + Bfly4 in0 out0 Bfly4 - - Permute Bfly4 out1 in1 Bfly4 x16 out2 in2 Bfly4 Bfly4 Bfly4 Permute Permute out3 in3 + + … … out4 in4 Bfly4 Bfly4 … … * t0 out63 in63 - - * t1 * t2 *j * t3 Combinational IFFT All numbers are complex and represented as two sixteen bit quantities. Fixed-point arithmetic is used to reduce area, power, ...

  28. Bfly4 in0 out0 Bfly4 Permute Bfly4 out1 in1 Bfly4 x16 out2 in2 Bfly4 Bfly4 Bfly4 Permute Permute in3 out3 … … out4 in4 Bfly4 Bfly4 … … out63 in63 Pipelined IFFT

  29. Bfly4 in0 out0 Permute … in1 out1 Bfly4 in2 out2 in3 out3 in4 out4 … … in63 out63 Circular pipeline: Reusing the Pipeline Stage Stage Counter

  30. in0 out0 in1 out1 Permute in2 out2 in3 out3 in4 out4 … … in63 out63 Superfolded circular pipeline: Just one Bfly-4 node! Bfly4 64, 2-way Muxes Stage 0 to 2 4, 16-way Muxes 4, 16-way DeMuxes Index: 0 to 15 Index == 15? These different micro-architectures will have different (area, speed, power). Each may be the “best” for different target chips.

  31. WiFi: 64pt @ 0.25MHz WiMAX: 256pt @ 0.03MHz IFFT CP Insertion Scrambler FEC Encoder Interleaver Mapper Pilot & Guard Insertion TX Controller WUSB: 128pt 8MHz RX Controller FFT S/P Synchronizer De- Scrambler FEC Decoder De- Interleaver De- Mapper Channel Estimater WiFi:x7+x4+1 Convolutional WiMAX:x15+x14+1 Reed-Solomon WUSB:x15+x14+1 Turbo IP Reuse via parameterized modulesExample: OFDM based protocols (OFDM multi-radio) D/A MAC A/D MAC standard specific potential reuse • Reusable algorithm with different parameter settings 85% reusable code between WiFi and WiMAX From WiFi to WiMAX in 4 weeks • Different throughput requirements • Different algorithms • (Alfred) Man Chuek Ng, …

  32. Summarizing, we have Point 4: Parameterized architectures can deliver tremendous succinctness and reuse ... ... but each instance of a parameterized architecture has different resource contentions  needs different control logic. Consider the effort to redesign the control logic for each instance (RTL), vs. using regenerating it automatically due to control-adaptivity.

  33. Add Functionality Refine/Replace Explore Architecture AddFunctionality Add Architectural Detail Final Bus/InterconnectI/F void TestBench:: Result( void* tbPointer ) { TestBench* tb = reinterpret_cast< TestBench* >( tbPointer ); unsigned data[4]; doResp( tb->drv0, &(tb->out[0]), data ); cout << "data is: " << hex << data[0] << data[1] << data[2] << data[3] << endl; Tcl_Obj* cmd = Tcl_NewStringObj( "reportResults ", -1 ); for( int i=0; i<4; i++ ) void TestBench:: Result( void* tbPointer ) { TestBench* tb = reinterpret_cast< TestBench* >( tbPointer ); unsigned data[4]; doResp( tb->drv0, &(tb->out[0]), data ); cout << "data is: " << hex << data[0] << data[1] << data[2] << data[3] << endl; Tcl_Obj* cmd = Tcl_NewStringObj( "reportResults ", -1 ); for( int i=0; i<4; i++ ) void TestBench:: Result( void* tbPointer ) { TestBench* tb = reinterpret_cast< TestBench* >( tbPointer ); unsigned data[4]; doResp( tb->drv0, &(tb->out[0]), data ); cout << "data is: " << hex << data[0] << data[1] << data[2] << data[3] << endl; Tcl_Obj* cmd = Tcl_NewStringObj( "reportResults ", -1 ); for( int i=0; i<4; i++ ) Final Testbench Final IP Control-adaptivity has a profound effect on design methodology Early,fast SW platform Executable spec Software Verification IP Design IP/System Bluespec Tools Initial Testbench (approx) High-levelTransactional I/F Initial IP(approx) void TestBench:: Result( void* tbPointer ) { TestBench* tb = reinterpret_cast< TestBench* >( tbPointer ); unsigned data[4]; doResp( tb->drv0, &(tb->out[0]), data ); Synthesis & Execution at every step Bluespec Synthesis Bluesim (10X speed) or RTL simulation (1X speed) Verilog AddFunctionality or Verify/Analyze/Debug FPGA/Emulation (>>100X speed) RTLsynthesis PowerEstimation Synthesizable testbenches, for fast verification

  34. Gradually expanding ways in which BSV is used • Modeling for early SW development • Denali • Cycle-accurate LPDDR controller • Modeling for architecture exploration • Intel, IBM, UT Austin, CMU • x86 and Power multi-thread/multi-core design • Verification • Qualcomm • Testbenches, transactors • and, of course, IP creation (original use) • TI, ST, Nokia, Mercury, MIT • DMAs, LCD controllers, Wireless modems, Video, ...

  35. In summary ... Atomic Transactions are good because ... ... (summarizing) they make your designs control-adaptive. And this helps you in writing executable specs, in modeling, in refining models to designs, in creating complex, reusable designs, maintainable and evolvable designs. Ah! I see! What about Santa Claus* and my soul? * ask me if you want to see the Bluespec solution

  36. Extra slides

  37. Example: IP verification(AXI demo on FPGA @ Bluespec) C/SystemC/Bluesim Verilog Simulation 1X (1.4K cycles/sec) Verilog C/SystemC/Bluesim Bluesim Simulation 22X (31K cycles/sec) Bluesim C/SystemC/Bluesim FPGA Emulation 35,714X (50M cycles/sec) Emulation 22 day Verilog sim → 1 day Bluesim → 53 sec on FPGA

  38. Example: IP verification(AXI demo on FPGA @ Bluespec) • Why BSV? • Inexpensive emulation platform (low cost FPGA board) • Easy setup (BSV transactors) • Quick availabilty (synthesizability of early approximation) Workstation USB cable Avnet $3.5K FPGA board

More Related