1 / 10

Implementation Of Full Adder Using Spartan-3E FPGA

NATIONAL INSTITUTE OF TECHNOLOGY, TIRUCHIRAPPALLI – 620 015. (STB99061) . 3-DAY TUTORIAL ON VERILOG HDL Organized by IEEE STUDENT BRANCH NIT TRICHY. Implementation Of Full Adder Using Spartan-3E FPGA. Ms. Vinitha Bobbili M.Tech Scholar NIT-T.

arios
Download Presentation

Implementation Of Full Adder Using Spartan-3E FPGA

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. NATIONAL INSTITUTE OF TECHNOLOGY, TIRUCHIRAPPALLI – 620 015 (STB99061) 3-DAY TUTORIAL ON VERILOG HDL Organized by IEEE STUDENT BRANCH NIT TRICHY Implementation Of Full Adder Using Spartan-3E FPGA Ms. VinithaBobbili M.Tech Scholar NIT-T Department of Electronics and Communication Engineering

  2. FPGA Design Flow:

  3. Full Addeer: module adder(s,cy,a,b,c_in ); input a,b,c_in; output s,cy; assign s=a^b^c_in; assign cy=(a^b)&c_in|(a&b); endmodule

  4. Spartan-3E Starter Kit:

  5. bit file generation : Verilog code-> Synthesis -> ucf >implement design(translate,map,place&route) ->generate programming file.

  6. FPGA Configuration: Impact Tool

  7. Output : • a =b=c=0, s=cy=0 a=b=0 c=1 s=1 cy=0

  8. a=b=1 c=0 s=0 cy=1 a=b=c=1 s=cy=1

  9. Thank you

More Related