1 / 14

Оценка энергопотребления КМОП-схем на базе VHDL- моделирования

Оценка энергопотребления КМОП-схем на базе VHDL- моделирования. П.Н. Бибило, А.Л. Соловьёв ОИПИ НАН Беларуси, Минск. Взаимосвязь потребляемой мощности и переключательной активности. Динамическая мощность , потребляемая логическим элементом. где – напряжение питания;

Download Presentation

Оценка энергопотребления КМОП-схем на базе VHDL- моделирования

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Оценка энергопотребления КМОП-схемна базе VHDL-моделирования П.Н. Бибило, А.Л. Соловьёв ОИПИ НАН Беларуси, Минск

  2. Взаимосвязь потребляемой мощности и переключательной активности Динамическая мощность , потребляемая логическим элементом где – напряжение питания; f–максимальная частотафункционирования; Ca– выходная емкость элемента; Na– активность переключения (среднее число изменения состояния выхода в течение одного цикла синхросигнала) VDD Vвх p-МОП Vвых КМОП-инвертор n-МОП Ca

  3. B A Y Y A Библиотека проектирования Электрическая схема элемента A2 («И») Электрическая схема элемента N («НЕ») Библиотека содержит 32 элемента

  4. Способы оценки числа переключений Логическое VHDL-моделирование в системе моделирования ModelSim Логическое SF-моделирование в системе Энергосберегающего Логического Синтеза “ЭЛС” Длительности передних и задних фронтов входных сигналов 1 ns; период подачи входных сигналов 40 ns; температура +27 С.

  5. Логическое VHDL-моделирование в системе ModelSim Логическая схема CIRC

  6. Подсчет числа переключений транзисторов

  7. Методика VHDL-моделирования для определения числа переключений транзисторов • все логические элементы имеют одинаковую задержку • VHDL-модель элемента снабжается дополнительным выходом z, значение которого задает число переключившихся транзисторов • VHDL-модель схемы в целом дополняется процессом, осуществляющим суммирование переключений по всем элементам

  8. VHDL-модель схемы для подсчета числа переключений транзисторов

  9. VHDL-описание элемента A2 для определения числа переключений транзисторов

  10. Временная диаграмма и число переключений транзисторов

  11. Оценка энергопотребления в системе Accusim(фирма Mentor Graphics)

  12. Обозначения

  13. Результаты эксперимента

  14. Выводы 1. Используя VHDL-моделирование, можно подсчитывать число переключений транзисторов в КМОП схеме и прогнозировать потребление тока. 2. Средняя точность прогноза составляет -9,9 % ÷ +13,8 %. 3. Погрешность предсказания потребляемого тока уменьшается с увеличением сложности схемы и увеличении длины теста.

More Related