1 / 61

Reducing Peak Power with a Table-Driven Adaptive Processor Core

Reducing Peak Power with a Table-Driven Adaptive Processor Core. Vasileios Kontorinis (UCSD) Amirali Shayan (UCSD) Rakesh Kumar (UIUC) Dean Tullsen (UCSD). The Power Problem. $. $. $. $. Power related issues : Wall power costs Processor design constraints

nitesh
Download Presentation

Reducing Peak Power with a Table-Driven Adaptive Processor Core

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Reducing Peak Power with a Table-Driven Adaptive Processor Core VasileiosKontorinis (UCSD) AmiraliShayan (UCSD) Rakesh Kumar (UIUC) Dean Tullsen (UCSD)

  2. The Power Problem $ $ $ $ • Power related issues: • Wall power costs • Processor design constraints • Power delivery network • Thermals • Packaging • Reliability Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  3. The Power Problem $ $ $ $ • Power related issues: • Wall power costs • Processor design constraints • Power delivery network • Thermals • Packaging • Reliability Average Power Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  4. The Power Problem • Power related issues: • Wall power costs • Processor design constraints • Power delivery network • Thermals • Packaging • Reliability Peak Power Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  5. Theoretical Peak vs Execution Peak Power Time Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  6. Theoretical Peak vs Execution Peak Power Average Time Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  7. Theoretical Peak vs Execution Peak Execution Peak Power Average Time Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  8. Theoretical Peak vs Execution Peak Theoretical Peak Execution Peak Power Average Time Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  9. Our Approach • Motivation: • Most applications have few resource bottlenecks. • Ample opportunity to disable core components without hurting performance • Goal: • Partially disable core components to limit Peak Power • Method: • Each resource can be maximally configured • Not all resources maximized at the same time (centralized control mechanism). Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  10. Motivating Experiment: • We reduce 10 core resources Max config Min config Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  11. Motivating Experiment: • We reduce 10 core resources • We selectively maximize resources 10 params max 1 out of 10 parameters max Min config Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  12. Motivating Experiment: • We reduce 10 core resources • We selectively maximize resources 10 params max 2 out of 10 parameters max Min config Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  13. Motivating Experiment: • We reduce 10 core resources • We selectively maximize resources 10 params max 3 out of 10 parameters max Min config Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  14. Motivating Experiment: • We reduce 10 core resources • We selectively maximize resources • We can aggressively reduce core components and give up little performance Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  15. Outline • Introduction • Architecture • Results • Conclusions Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  16. Outline • Introduction • Architecture • Results • Conclusions Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  17. Baseline Architecture Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  18. Baseline Architecture with Average Power Management Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  19. Proposed Architecture with Peak Power Management Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  20. Proposed Architecture with Peak Power Management Holds possible core configurations Does bookkeeping and enforces configurations Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  21. Two Critical Issues • Which configurations to make available? (contents of Config ROM) • How to transition among the available configurations? (Adaptation manager policies) Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  22. Two Critical Issues • Which configurations to make available? (contents of Config ROM) • How to transition among the available configurations? (Adaptation manager policies) Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  23. Finding Appropriate Configurations Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  24. Finding Appropriate Configurations Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  25. Finding Appropriate Configurations • Consider all possible configurations 69% 71% Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  26. Finding Appropriate Configurations • Consider all possible configurations • Remove configs exceeding targeted peak power threshold 69% 71% Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  27. Finding Appropriate Configurations • Consider all possible configurations • Remove configs exceeding targeted peak power threshold 69% 68% Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  28. Finding Appropriate Configurations • Consider all possible configurations • Remove configs exceeding targeted peak power threshold • Remove redundant configs 69% 68% Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  29. Contents of the Config ROM • Manageable number of configurations • We find the best configuration faster Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  30. Implementation Overhead • Area: <1.25% increase (~0.5KB for Config ROM) • Peak Power: < 1.1% overhead • Average Power: negligible (infrequent epoch-based adaptation) • Power-gating delays of up to 650 cycles. • Verification Cost higher than non-adaptive core, less than fully-adaptive core Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  31. Outline • Introduction • Architecture • Results • Dynamic Adaptation vs Static Tuning • Realistic Adaptive Techniques • Voltage Variation and Decoupling Capacitance Benefits • Conclusions Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  32. Dynamic Adaptation vs Static Tuning 70% of core peak • Best Static Configuration: • iqs:32. fqs:32 ialu:2 falu:1 ldst:1 ics:16KB dcs:16KB ipr:64 fpr:64 rob:256 Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  33. Dynamic Adaptation vs Static Tuning 70% of core peak FP REGs needed INT ALUs needed Nothing needed • Best Static Configuration: • iqs:32. fqs:32 ialu:2 falu:1 ldst:1 ics:16KB dcs:16KB ipr:64 fpr:64 rob:256 Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  34. Two Critical Issues • Which configurations to make available? (contents of Config ROM) • How to transition among the available configurations? (Adaptation manager policies) Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  35. Realistic Adaptive Techniques Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  36. Realistic Adaptive Techniques Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  37. Realistic Adaptive Techniques Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  38. Realistic Adaptive Techniques Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  39. Realistic Adaptive Techniques e.g. INTVAD_SCORE_SAMPLE Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  40. Realistic Adaptive Techniques Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  41. Realistic Adaptive Techniques Most configs in Config ROMperform poorly Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  42. Realistic Adaptive Techniques SCORE marginally better than BEST_STATIC Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  43. Realistic Adaptive Techniques SAMPLING a big win! Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  44. Results Across Peak Power Budgetsvs Maximized Core • Reducing the configurations in Config ROM further improves performance • At 75% within 5% of maximized core • At 80% within 2.5% of maximized core Peak power constraint Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  45. So what have we gained? • Metrics • Power efficiency AP_ratio = • Decoupling Capacitance (% of total core area) • Voltage Variation (% of Vdd) Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  46. Power Efficiency Both average and peak power decrease Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  47. Power Efficiency AP_ratio: 56% 61% 63% 64% 67% Both average and peak power decrease AP_ratio improves as we constrain the peak power Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  48. Voltage variation and Decoupling Capacitance benefits Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  49. Voltage variation and Decoupling Capacitance benefits Micro'09: Kontorinis, Shayan, Kumar, Tullsen

  50. Voltage variation and Decoupling Capacitance benefits Micro'09: Kontorinis, Shayan, Kumar, Tullsen

More Related