1 / 9

FPGAs and IRRADIATED FPGAs for the VELO UPGRADE (update)

FPGAs and IRRADIATED FPGAs for the VELO UPGRADE (update). Bin Gui, Ray Mountain. Outline. HDL Code and ModelSim simulation 2 to 4 line decoder 4 bit adder Next Step. module decoder_gl (A,B,E,D); input A,B,E; output [0:3]D; wire Anot,Bnot,Enot; not n1 (Anot, A),

lee
Download Presentation

FPGAs and IRRADIATED FPGAs for the VELO UPGRADE (update)

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. FPGAs and IRRADIATED FPGAs for the VELO UPGRADE(update) Bin Gui, Ray Mountain

  2. Outline • HDL Code and ModelSim simulation • 2 to 4 line decoder • 4 bit adder • Next Step

  3. moduledecoder_gl (A,B,E,D); input A,B,E; output [0:3]D; wire Anot,Bnot,Enot; not n1 (Anot, A), n2 (Bnot, B), n3 (Enot, E); nand n4 (D[0],Anot,Bnot,Enot), n5 (D[1],Anot,B,Enot), n6 (D[2],A,Bnot,Enot), n7 (D[3],A,B,Enot); endmodule 2 to 4 line decoder Code: (Verilog)

  4. Simulation

  5. Half adder modulehalfadder (S, C, x, y); input x, y; output S,C; xor (S, x, y); and (C, x, y); Endmodule Code: (Verilog)

  6. Full adder modulefulladder (S, C, x, y, z); input x, y, z; output S,C; wire S1,D1,D2; //Instantiate the halfadder halfadder HA1 (S1, D1, x, y), HA2 (S, D2, S1, z); or g1(C,D2,D1); endmodule Code: (Verilog)

  7. 4 bit adder module _4bit_adder (S,C4,A,B,C0); input [3:0] A,B; input C0; output [3:0] S; output C4; wire C1,C2,C3; //Intermediate carries fulladder FA0 (S[0],C1,A[0],B[0],C0), FA1 (S[1],C2,A[1],B[1],C1), FA2 (S[2],C3,A[2],B[2],C2), FA3 (S[3],C4,A[3],B[3],C3); endmodule Code: (Verilog)

  8. Simulation

  9. D 0 Next Step: Bit-Flip Tester V0.0 (first iteration) Register 1 Register 1 Register 1 Register 1 Register 1 128 Register 1 Registers Subtractors … Fan-Out D 2 1 0 Clock (adj.) Comparators FPGA X

More Related