1 / 24

Programmable Logic System Design

Programmable Logic System Design. Lab03- Simulation Preliminary SOC LAB . 2019.10.17. Lab Description. 認識實驗版 撰寫 VHDL ,利用 Switch 模組控制 LED 模組 定義 I/O 腳位 (I/O Pins) ,產生燒錄檔 (*.sof) ,燒錄並觀察在 FPGA 板子上的結果. Altera Cyclone II DE2-70 FPGA board. Create Xilinx Project.

josephahill
Download Presentation

Programmable Logic System Design

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Programmable Logic System Design Lab03- Simulation Preliminary SOC LAB. 2019.10.17

  2. Lab Description • 認識實驗版 • 撰寫 VHDL,利用 Switch 模組控制 LED 模組 • 定義I/O腳位(I/O Pins),產生燒錄檔(*.sof),燒錄並觀察在 FPGA 板子上的結果

  3. Altera Cyclone II DE2-70 FPGA board

  4. Create Xilinx Project • 若忘記 Quartus II 開新 Project 的設定請參考 Lab1 的講義

  5. Use Switches to Light LEDs library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity lab3 is Port ( iSW : in std_logic_vector(9 downto 0); oLEDR : out std_logic_vector(9 downto 0)); end lab3; architecture Behavioral of lab3 is begin oLEDR(9 downto 0) <= iSW(9 downto 0); end Behavioral;

  6. Pin Assignment • Open Pin planner

  7. Pin Assignment (Cont’d) • Check pin assignment

  8. Programming Process

  9. Program the DE1-SOC Board

  10. Program the DE1-SOC Board(Cont’d) • Open Hardware Setup

  11. Program the DE1-SOC Board(Cont’d) • Select hardware as USB-Blaster [USB-0]

  12. Program the DE1-SOC Board(Cont’d) • Select auto Detect

  13. Program the DE1-SOC Board(Cont’d) • Change file

  14. Program the DE1-SOC Board(Cont’d) • Select your file (*.sof )

  15. Program the DE1-SOC Board(Cont’d) • Start program

  16. Program the DE1-SOC Board(Cont’d) • Program success

  17. Assignment • 以VHDL 撰寫描述此一真值表並完成波形模擬圖、腳位設定以及Download。並輸入訊號觀察與模擬設計是否相符。

  18. CONNECT THE USB CABLE BETWEEN THE COMPUTER AND FPGA BOARD

  19. Right click “USB-Blaster” and select setup driver. Then select “install from a list or specific location”.

  20. Choose the file from C:\altera\13.0sp1\quartus\drivers\usb-blaster and install.

More Related