1 / 5

Environmental, Safety and Health Chapter ITRS 2008

Environmental, Safety and Health Chapter ITRS 2008. Hans-Peter Bipp – Infineon Mark Denome – Applied Materials George Hoshi – TEL Shigehito Ibuka - TEL Jim Jewett – Intel Joey Lu – TSIA Mike Mocella - DuPont Andreas Neuber – Applied Materials Takayuki Ohgoshi – NEC Electronics

fauve
Download Presentation

Environmental, Safety and Health Chapter ITRS 2008

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Environmental, Safety and Health ChapterITRS 2008 Hans-Peter Bipp – Infineon Mark Denome – Applied Materials George Hoshi – TEL Shigehito Ibuka - TEL Jim Jewett – Intel Joey Lu – TSIA Mike Mocella - DuPont Andreas Neuber – Applied Materials Takayuki Ohgoshi – NEC Electronics Ron Remke - ISMI Harry Thewissen - NXP Tetsu Tomine – Seiko-Epson Munetsugu Yamanaka - TEL ESH ITWG Jim Jewett

  2. Impact to Technology from ESH Policy ESH Requirements Influence Impact EvolvingTechnology

  3. Prioritization of ESH Requirements Critical - Essential item for the implementation and success of the technology Enabling - Important item for the implementation and success of the technology Improving - Useful item for the implementation and success of the technology

  4. Facility power consumption reduced Delete redundant information Considers Recycling/ reclaim rate Needs review in 2009 Work needed Proposed Changes to TableH2O Site water consumption reduction is the primary target – ensures sustainable growth of the semiconductor industry

  5. 2009 Challenges • Reorganize requirements to topical focus from functional area focus • Table ESH3a Chemicals and Materials Management Technology Requirements • Hazard, POPs, New chemicals, Nano • Table ESH4a Process and Equipment Management Technology Requirements • PFC, Energy, Material utilization • Table ESH5a Facilities Energy and Water Optimization Technology Requirements • Energy, Waste, Emission impact • Table ESH6 Sustainability and Product Stewardship Technology Requirements • Green Fab • Adjust scope on Factory requirements (wafer size vs. functionality) • 450mm, new 300mm and Existing factories with new technology • Additional 2009 Challenges • Supplementary Material (Scope Documents) • ERM/ESH Material Table

More Related