1 / 32

An Integrated Model for Si Etching

An Integrated Model for Si Etching. Jiehui Wan Instructor: Dr. Tzeng ELEC 7730 Dec 8, 2003. Questions. What are the two models used here and what’s their relationship?. Outline. Introduction; Description of the models: Hybrid Plasma Equipment Model (HPEM);

fathia
Download Presentation

An Integrated Model for Si Etching

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. An Integrated Model for Si Etching Jiehui Wan Instructor: Dr. Tzeng ELEC 7730 Dec 8, 2003

  2. Questions • What are the two models used here and what’s their relationship?

  3. Outline • Introduction; • Description of the models: • Hybrid Plasma Equipment Model (HPEM); • Surface Kinetics Module (SKM) • C2F6etching of Si • Surface Reaction Mechanism • Results

  4. Introduction • Fluorocarbon (CmFn ) plasmas are widely used for Si/SiO2 etching due to their favorable kinetic properties and high selectivity; • CFx radicals passivate the wafer surface and so influence etching behavior; • The passivation thickness depends on wafer materials, plasma-wall interactions, and processing parameters; • Active surface reactions occurring in fluorocarbon plasmas can also influence plasma properties by consuming or generating plasma fluxes; • An Integrated plasma-surface model which was used to investigate surface reaction mechanisms in fluorocarbon plasma etching will be introduced.

  5. HPEM(Hybrid Plasma Equipment Model) • HPEM (Hybrid Plasma Equipment Model): developed at the University of Illinois, a modular simulator addressing low temperature, low pressure plasmas; • Three modules: • EMM (Electromagnetic Module) calculates electromagnetic fields and magneto-static fields; • EETM (Electron Energy Transport Module) computes electron impact source functions and transport coefficients; • FKM (Fluid-chemical Kinetic Module) derives the densities, momentum and temperature of plasma species; • VPEM (Virtual Plasma Equipment Model) shell can be added to HPEM for process control.

  6. Electron Energy Transport Module (EETM) Fluid- Chemistry Kinetics Module (FKM) Electro-Magnetic Module (EMM) VPEM: Sensors, Controllers, Actuators HPEM

  7. Electromagnetic Module • The EMM computes time varying electric and magnetic fields for HPEM; • For ICP, rf currents passing through the inductive coils generate azimuthal electric fields that are governed by Maxwell’s equations: • EMM also solves the magnetostatic fields:

  8. Ref. • : permeability E : azimuthal electric field: frequency of the source current : permittivity J : total currents • qe: unit electron charge ne: electron density me: electron mass vme: momentum transfer collision frequency of electron : driving frequency

  9. EETM (Electron Energy Transport Module) • Electron Energy Transport Module was designed to simulate plasma kinetics and chemistry; • Two methods: Monte Carlo Simulation & • Electron Energy Equation Method: Boltzmann Equation: Electron Energy Distribution Function (EEDF):

  10. FKM (Fluid-chemical Kinetic Module) • In FKM, fluid equations, together with chemical reactions are solved to obtain plasma species densities and fluxes; • The electrostatic fields are also computed by solving Poisson’s equation;

  11. Modular HPEM EETM EMM Boltzmann and electron energy equations or Electron Monte Carlo Simulation Maxwell’s Equations FKS Fluid equations Poisson’s equation or Quasineutrality approximation

  12. HPEM Results I a) Azimuthal Electric Field; b) Total Power Deposition. Process conditions: Cl2, 10 mTorr, 80 sccm gas flow rate, 800 W ICP power, 100v rf substrate bias

  13. HPEM Results II a) Cl2+ source function; b) Cl2+ density and fluxes; The arrows in (b) denote the relative magnitudes and directions of Cl2+ ion fluxes.

  14. HPEM Results III The plasma possesses a uniform potential in the bulk region due to its high conductivity. The rf bias produces a negative dc component on the substrate because of the large difference in the areas for the biased surface and the grounded surface. This results in the sheath voltage drop peaking above the wafer, contributing to the energetic ion bombardment of the wafer surface. Electric Potential of the ICP plasma

  15. SKM (Surface Kinetics Module) • The Surface Kinetics Model (SKM) is an integrated module of the HPEM; • Before SKM, HPEM used a fixed boundary condition at the plasma-solid interface: • The reflecting flux of some species back to the bulk plasma from the mth material is flux of species I to the surface m, reaction probability for the ith plasma species and mth surface material; reflecting flux of species I from the surface m; • Incident species may produces other species on the surface fractional generation rate

  16. SKM (Surface Kinetics Module) • The SKM • uses reactant fluxes from the HPEM • applies a user defined reaction mechanism • updates surface sticking and product reflection coefficient for the HPEM • calculates surface coverages and etch rates Reaction Mechanism HPEM SKM Etch Rate

  17. Integrated plasma-surface Model HPEM Surface Reaction Mechanism Incident Species/Fluxes Initial Conditions Surface Composition SKM Reflecting Species/Fluxes Surface Species/Fluxes Deposition/Etching Rate

  18. SKM: Energy Dependence of Reaction Probability • All surface reactions involving ion reactants in the SKM allow for ion energy dependence; • Ions are accelerated to the surface through the sheath, arriving on the surface with energy of: Q: ion charge. f(r):Ratio of ion mean free path to sheath thickness. Vsh(r): Sheath voltage drop; • Surface Reaction have a general energy dependence given by Where : Incident ion energy; : Etching yield or reaction probability for ion with energy Eref. : Threshold energy for the process; : reaction probability for an ion with energy Eion. • Ions with higher bombarding energy produces higher etch yield.

  19. SKM: (POLYMER LAYER PASSIVATION) • During Si/SiO2 etching by fluorocarbon plasmas, a CmFn polymer layer will simultaneously deposit on the surface of the wafer and the reactor wall; • The SKM allows the growth of the passivation layer by CFx radical polymerization on the surface. F atoms etching and energetic ion sputtering can consume the layer; • The steady state passivation thickness is reached when the film generation and consumption rates are balanced; • SKM uses passivation thickness dependent rates for mass and energy transfers through the layer.

  20. C2F6 Etching of Si • A CxFy polymer layer is formed on the Si surface in coincidence with Si etching. The steady state passivation layer thickness is a balance of CFx deposition, ion sputtering and F etching of the layer; • Si etching precursor (F) needs to diffuse through the passivation layer.

  21. Ref. • Representative gas phase reactions: e + C2F6 ® CF3+ + CF3 + e + e e + C2F6® CF3 + CF3 + e e + CF3 ® CF2 + F + e e + CF3® CF2 + F + F- e + CF4® CF2 + F + F + e e + CF4® CF3+ + F + e + e F + F + M ® F2 + M CF3 + CF3 + M ® C2F6 + M CF2 + F2® CF3 + F CF3 + F2® CF4 + F CF2 + CF3® C2 F 5 C2 F5 + F ® CF3 + CF3 CF3 + F ® CF4 CF2 + F ® CF6

  22. Properties (Plasma and Surface) Plasma and surface properties for the base case as a function of radius. (a) Fluxes of CF3 + , CF2 and F to the wafer. (b) Polymer layers and etch rates. The etch rate is constrained by the diffusion of F atoms through the polymer layer, giving rise to a minimum at the center of the wafer where the polymer layer is thickest.

  23. Densities CF2 density distributions at (a) 30, (b) 50, and (c) 70 mTorr. All cases are at 250 V biases and 30 sccm. The labels on the contour lines denote the percentage of the value shown at the top of each figure. Increasing pressure localizes sources closer to the powered electrode.

  24. Wall Conditions Influences of reactor wall condition on plasma properties. (a) Experimental data of normalized CF2 and F densities as a function of the reactor wall temperature. (b) Simulated results of normalized CF2 and F densities as a function of (1 - SCF2), where SCF2 is the sticking coefficient of CF2 on the wall and it decreases with increasing reactor wall temperature.

  25. Densities Simulated CF2 normalized density as a function of sticking coefficient of CF2 radicals on the reactor walls. Experimental results of Shaepkens et al. are shown plotted as a function of T(wall)1/2 . A decreasing sticking coefficient for CF2 increases its gas phase density.

  26. Surface Properties Surface properties as a function of CF2 sticking coefficient (SCF2) on the walls of the reactor. (a) Polymer layers as a function of radius for different sticking coefficients. (b) Etch rates for different sticking coefficients.

  27. Etch Rate Polymer layers, CF2 flux, and etch rate at the center of the wafer as a function of SCF2.

  28. Etch Rate Polymer thickness and etch rate at the center of the wafer as a function of sheath potential. Increased sputtering of the polymer layer with increasing bias decreases its thickness and increases the etch rate.

  29. Properties (Plasma and Surface) Plasma and surface properties as a function of dilution with argon in an Ar/C2F6 gas mixture. (a) Fluxes of CF2, F atoms and the ratio of the ion flux to the CF2 flux. (b) Etch rate and polymer thickness. The etch rate increases with decreasing polymer thickness until the F atom flux is insufficient to fully passivate silicon sites.

  30. Conclusions • Ar/C2F6 etching of Si in a high-plasma-density ICP reactor was simulated using Integrated modeling; • Results show that with a decreasing CF2 sticking coefficient on the reactor wall, the bulk CF2 density increases, which leads to a thicker polymer layer on the wafer and a lower Si etch rate; • Higher biases produce larger sputtering rates and thinner passivation; • Both the magnitude and radial dependence of the etch rate depend on the rate of ion activated desorption of etch products; • For conditions where ion-desorption is not rate limiting, etch rates generally vary inversely with polymer thickness; For conditions where the ion-desorption is rate limiting, the etch rate and its spatial dependence vary with ion flux.

  31. Reference • M. Schaepkens, R. C. M. Bosch, T. E. F. M. Standaert, and G. S. Oehrlein, J. Vac. Sci. Technol. A 16, 2099 (1998); • http://uigelz.ece.uiuc.edu/index.html; • Zhang Da, PhD thesis, 2002; • Da Zhang, Mark J. Kushner, Journal of Applied Physics, 87,3(2000), 1060-1069; • Da Zhang, Mark J. Kushner, J. Vac. Sci. Technol. A 19(2), Mar/Apr 2001 • Da Zhang, Mark J. Kushner, J. Vac. Sci. Technol. A 18(6), Nov/Dec 2000.

  32. Answers • The integrated model is composed of HPEM and SKM. SKM accepts fluxes of reactants from the HPEM then implement a modified surface site balance algorithm at all points along the plasma-surface boundary and produces surface coverages, effective reactive sticking coefficients, and the identity of species returning to the plasma.

More Related