1 / 34

ES 176/276 – Section # 3 – 09/26/2011

ES 176/276 – Section # 3 – 09/26/2011. Brief Overview from Section #2 – 09/19/2011. – MEMS examples: (MEMS Airbag accelerometer, Digital Micromirror Device, Capacitive RF MEMS switch) – Planar fabrication broad overview (how ICs are made)

elwyn
Download Presentation

ES 176/276 – Section # 3 – 09/26/2011

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ES 176/276 – Section # 3 – 09/26/2011 Brief Overview from Section #2 – 09/19/2011 – MEMS examples: (MEMS Airbag accelerometer, Digital Micromirror Device, Capacitive RF MEMS switch) – Planar fabrication broad overview (how ICs are made) – ICs versus MEMS, what are new fabrication requirements? –MEMS fabrication broad overview

  2. ES 176/276 – Section # 3 – 09/26/2011 Planar Fabrication Overview

  3. ES 176/276 – Section # 3 – 09/26/2011 Important Process Steps/Terminology (before we begin) Lithography: Process of transferring a pattern from a pre-made photomask into a photoresist layer Etching: Removal of material either by a wet chemical process (wet etching) or a gaseous/plasma process (dry etching) Deposition: Addition of material (i.e. metal, insulator, semiconductor) either by physical deposition or chemical deposition methods. Annealing/Diffusion: High temperature process to reform a material layer Oxidation: Growth of SiO2 by thermal annealing in an oxygen rich environment Planarization: Polishing of a layer in order to reduce the surface features to a flat plane Ion implantation: Exposure of a material to high energy ions which are eventually incorporated into the material lattice

  4. ES 176/276 – Section # 3 – 09/26/2011 Integrated circuit fabrication versus MEMS fabrication – What are the fundamental differences in the following devices?

  5. ES 176/276 – Section # 2 – 09/19/2011 MEMS Surface Micro/Nanomachining

  6. ES 176/276 – Section # 3 – 09/26/2011 MEMS Bulk Micro/Nanomachining

  7. ES 176/276 – Section # 3 – 09/26/2011 Today’s Plan – Etching fundamentals – Wet etching (chemical) – Plasma etching (chemical & physical) – Ion-enhanced etching (aka Reactive Ion Etching) – Sputter etching – Etching unique to MEMS (anisotropic wet etching, deep RIE)

  8. ES 176/276 – Section # 3 – 09/26/2011 Etching, basic idea • There are two main types of etching used in IC & MEMS fabrication: wet etching and dry etching (aka plasma etching). Plasma etching dominates today. • Wet etching: uses liquid etchants – etching done exclusively by chemical process • Dry etching: uses plasma - combination of chemical and physical processes • • Usually selectivity, and directionality are the first order issues.

  9. ES 176/276 – Section # 3 – 09/26/2011 Etch Selectivity etch rate of target layer etch rate of other layers (e.g. masks, substrate) Selectivity (S) = • Masks: • Soft: - e.g. photoresist • Hard- e.g. Si3N4, SiO2 (higher etch resistance) • In general, S > 25-50 is often required. • Selectivity comes from chemistry  excellent in case of wet etching

  10. ES 176/276 – Section # 3 – 09/26/2011 Etch Directionality • • Isotropic etching: same etch rate in all directions. Isotropic etching  undercutting. • Undercutting: • Undercutting is often expressed in terms of the etch bias b. • For completely isotropic: bias= depth (i.e. b=d). • • Etch anisotropy is defined as: • Af = 0 for perfectly isotropic etching = 1 for perfectly anisotropic etching. In reality: 0 < Af < 1.

  11. ES 176/276 – Section # 3 – 09/26/2011 Selectivity & Directionality Usually highly anisotropic (almost vertical profiles) and highly selective etching (ratios of 25-50) are desired, but these can be difficult to achieve simultaneously. • • Physical etching  more anisotropic - but less selective. • Chemical etching  more selective - but isotropic. • Illustration of undercutting (directionality) and selectivity issues.

  12. ES 176/276 – Section # 3 – 09/26/2011 Example to demonstrate selectivity/directionality importance Consider the diagram below: A 0.5 µm thick oxide layer is etched to achieve equal structure widths and spacings (Sf). The etch process produces a degree of anisotropy of 0.8. If the distance between the mask edges (x) is 0.35 µm, what structure spacings and widths are obtained? (Neglect overetching)

  13. ES 176/276 – Section # 3 – 09/26/2011 Example to demonstrate selectivity/directionality importance Consider the diagram below: To obtain equal widths and spacings: Sm = Sf + 2b, Af = 1 – b/d Sm = Sf + 2d(1 – Af) x = 2Sf – Sm Sf = x + 2d(1 – Af) Sf = 0.55 µm If our lithography is limited, what would we do if we wanted a smaller pitch? What if we were using wet etching? What if we over etched? What is the smallest pitch theoretically possible?

  14. ES 176/276 – Section # 3 – 09/26/2011 Wet Etching • • Due to a chemical reaction that produces H2O-soluble byproducts. • Wafers typically submerged in specific chemical baths and rinsed in DI H2O. • Processes tend to be highly selective but isotropic (except for crystallographically • dependent etches). • Examples: • Etching of SiO2 by aqueous HF: • (ii) Etching of Si by nitric acid • (HNO3) and HF: (water soluble) • Buffering agents are often used to preserve etchant strength over time. • e.g. : • NH4F is added to HF to prevent depletion of F ions in the oxide etch (called: BHF or BOE for buffered oxide etch) • CH3COOH is added to HNO3 +HF to limit dissociation of HNO3

  15. ES 176/276 – Section # 3 – 09/26/2011 Wet Etching In order to be able to produce structures of sizes similar to the minimum lithographic dimensions, we need to: (i) Use very thin films  not practical or (ii) Use processes with Anisotropy ~ 1  difficult to obtain via wet etching Because of their isotropic nature, wet chemical etches are rarely used in mainstream VLSI/ULSI fabrication Wet etching has more mainstream use in MEMS fabrication (we will return to this) EXCELLENT RESOURCE: Google “etch rates” and the first link is a great wet etching and general etching resource. “Etch Rates for Micromachining Processing Part II” by Williams et al.

  16. ES 176/276 – Section # 3 – 09/26/2011 Plasma Etching Developed and used for: 1. Faster and simpler etching in a few cases. 2. More directional (anisotropic) etching!! • Both chemical (highly reactive) species and ionic (very directional) species typically play a role. • VP is positive to equalize electron and ion fluxes. • Smaller electrode has higher fields to maintain current continuity (higher RF current density).

  17. ES 176/276 – Section # 3 – 09/26/2011 Plasma Etching • Etching gases include halide-containing species such as CF4, SiF6, Cl2, and HBr, plus additives such as O2, H2 and Ar. O2 by itself is used to etch photoresist. Pressure = 1 mtorr to 1 torr. • Typical reactions and species present in a plasma used are shown above.

  18. ES 176/276 – Section # 3 – 09/26/2011 Plasma Etching Mechanisms There are three principal mechanisms: (i) chemical etching – by reactive neutral species (isotropic, selective) (ii) physical etching – by ions (anisotropic, less selective) (iii) ion-enhanced etching – combination of both (anisotropic, selective)

  19. ES 176/276 – Section # 3 – 09/26/2011 (i) Chemical Etching • Etching done by reactive neutral species, such as “free radicals” (e.g. F, CF3) • Additives like O2 can be used which react with CF3 and reduce CF3 + F recombination.  higher etch rate. • These processes are purely chemical and are therefore isotropic and selective, like wet etching. • Generally characterized by (n=1) arrival angle and low sticking coefficient (Sc ≈ 0.01).

  20. ES 176/276 – Section # 3 – 09/26/2011 (ii) Physical Etching • Etching species are ions like CF3+ or Ar+ which remove material by sputtering. • Ion etching is much more directional (anisotropic) due to directional acceleration of ions by high E field across plasma sheath. • Depending on ion species, etching can be: • purely physical (e.g. by utilizing non reactive ions like Ar+ making it more physical sputtering) ,or • includes chemical component (e.g. by utilizing ions of reactive species like Cl+ or CF3+). • Sc ≈ 1, i.e. ions don't bounce around (or if they do, they lose their energy.)  significant shadow effects • Not very selective since all materials sputter at about the same rate. • Physical sputtering can cause damage to surface, with extent and amount of damage a direct function of ion energy (not ion density).

  21. ES 176/276 – Section # 3 – 09/26/2011 (iii) Ion Enhanced Etching • Both ions (like Ar+ ) and neutral reactive species (like XeF2) participate in the etching process. • Etching is enhanced (in terms of net etch rate and resulting etch profile) by interaction of the chemical and physical components of the plasma. • Etch profiles can be very anisotropic, and selectivity can be good. Figure shows etch rate of silicon as XeF2 gas (not plasma) and Ar+ ions are introduced to the silicon surface. Only when both are present does appreciable etching occur.

  22. ES 176/276 – Section # 3 – 09/26/2011 • • Many different mechanisms proposed for this synergistic etching between physical • and chemical components. Two mechanisms are shown above. • • Ion bombardment can enhance etch process (such as by damaging the surface to • increase reaction, or by removing etch byproducts), or can remove inhibitor that is • an indirect byproduct of etch process (such as polymer formation from carbon in gas • or from photoresist). • • Whatever the exact mechanism (multiple mechanisms may occur at same time): • • need both components for etching to occur. • • get anisotropic etching and little undercutting because of directed ion flux. • • get selectivity due to chemical component and chemical reactions. •  many applications in etching today.

  23. ES 176/276 – Section # 3 – 09/26/2011 Summary

  24. ES 176/276 – Section # 3 – 09/26/2011 Summary of Key Ideas • Etching of thin films is a key technology in modern IC manufacturing. • Photoresist is generally used as a mask, but sometimes other thin films also act as masks. • Selectivity and directionality (anisotropy) are the two most important issues. Usually good selectivity and vertical profiles (highly anisotropic) are desirable. • Other related issues include mask erosion, etch bias (undercutting), etch uniformity, residue removal and damage to underlying structures. • Dry etching is used almost exclusively today because of the control, flexibility, reproducibility and anisotropy that it provides. • Reactive neutral species (e.g. free radicals) and ionic species play roles in etching. • Generally neutral species produce isotropic etching and ionic species produce anisotropic etching. • Physical mechanisms: • Chemical etching involving the neutral species. • Physical etching involving the ionic species. • Ion-enhanced etching involving both species acting synergistically.

  25. ES 176/276 – Section # 3 – 09/26/2011 IC vs. MEMS Etching Until now, all of the concepts introduced were established with IC fabrication in mind. 99% of this material transfers to the MEMS fabrication, but MEMS fabrication has additional requirements. Wet etching is far more prevalent in MEMS fabrication Why: - sacrificial etching requires high selectivity and complete isotropy - etching large amounts of material is cheaper/faster with wet etching - anisotropic etching of Si is a good platform for MEMS 3D geometries Plasma etching is pushed to extremes in order to produce high aspect ratio structures (aka Deep RIE, aka Bosch Etch)

  26. ES 176/276 – Section # 3 – 09/26/2011 Silicon Wet Anisotropic Etching

  27. ES 176/276 – Section # 3 – 09/26/2011 Silicon Wet Anisotropic Etching

  28. ES 176/276 – Section # 3 – 09/26/2011 Silicon Wet Anisotropic Etching Self-Limited Stable Profile (SLSP) . . . What is it?

  29. ES 176/276 – Section # 3 – 09/26/2011 Silicon Wet Anisotropic Etching

  30. ES 176/276 – Section # 3 – 09/26/2011 Silicon Wet Anisotropic Etching

  31. ES 176/276 – Section # 3 – 09/26/2011 Silicon Wet Anisotropic Etching Application example: Ink Jet Nozzle

  32. ES 176/276 – Section # 3 – 09/26/2011 Silicon Deep Reactive Ion Etching (Bosch Etch Process) The Bosch process uses a fluorine based plasma chemistry to etch the silicon, combined with a fluorocarbon plasma process to provide sidewall passivation and improved selectivity to masking materials. A complete etch process cycles between etch and deposition steps many times to achieve deep, vertical etch profiles. Sulphur hexafluoride (SF6) is the source gas used to provide the fluorine for silicon etching. This molecule will readily break up in high-density plasma to release free radical fluorine. The sidewall passivation and mask protection is provided by octofluorocyclobutane (c-C4F8), a cyclic fluorocarbon that breaks open to produce CF2 and longer chain radicals in the high-density plasma. These readily deposit as fluorocarbon polymer on the samples being etched.

  33. ES 176/276 – Section # 3 – 09/26/2011 Silicon Deep Reactive Ion Etching (Bosch Etch Process)

  34. ES 176/276 – Section # 3 – 09/26/2011 Silicon Deep Reactive Ion Etching (Bosch Etch Process)

More Related