1 / 24

Chapter 4b Process Variation Modeling

Chapter 4b Process Variation Modeling. Prof. Lei He Electrical Engineering Department University of California, Los Angeles URL: eda.ee.ucla.edu Email: lhe@ee.ucla.edu. f ( x ). σ x. x. μ x. Outline. Introduction to Process Variation Spatial Correlation Modeling.

byron-mayo
Download Presentation

Chapter 4b Process Variation Modeling

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Chapter 4bProcess Variation Modeling Prof. Lei He Electrical Engineering Department University of California, Los Angeles URL: eda.ee.ucla.edu Email: lhe@ee.ucla.edu f (x) σx x μx

  2. Outline • Introduction to Process Variation • Spatial Correlation Modeling

  3. As Good as Models Tell… • Our device and interconnect models have assumed: • Delays are deterministic values • Everything works at the extreme case (corner case) • Useful timing information is obtained • However, anything is as good as models tell • Is delay really deterministic? • Do all gates work at their extreme case at the same time? • Is the predicated info correlated to the measurement? • …

  4. Factors Affecting Device Delay • Manufacturing factors • Channel length (gate length) • Channel width • Thickness of dioxide (tox) • Threshold (Vth) • … • Operation factors • Power supply fluctuation • Temperature • Coupling • … • Material physics: device fatigue • Electron migration • hot electron effects • …

  5. Lithography Manufacturing Process • As technology scales, all kinds of sources of variations • Critical dimension (CD) control for minimum feature size • Doping density • Masking

  6. Process Variation Trend • Keep increasing as technology scales down • Absolute process variations do not scale well • Relative process variations keep increasing

  7. Variation Impact on Delay • Extreme case: guard band [-40%, 55%] • In reality, even higher as more sources of variation • Can be both pessimistic and optimistic

  8. Variation-aware Delay Modeling • Delay can be modeled as a random variable (R.V.) • R.V. follows certain probability distribution • Some typical distributions • Normal distribution • Uniform distribution

  9. Types of Process Variation • Systematic vs. Random variation • Systematic variation has a clear trend/pattern (deterministic variation [Nassif, ISQED00]) • Possible to correct (e.g., OPC, dummy fill) • Random variation is a stochastic phenomenon without clear patterns • Statistical nature  statistical treatment of design • Inter-die vs intra-die variation • Inter-die variation: same devices at different dies are manufactured differently • Intra-die (spatial) variation: same devices at different locations of the same die are manufactured differently

  10. Outline • Introduction to Process Variation • Spatial Correlation Modeling • Robust Extraction of Valid Spatial Correlation Function • Robust Extraction of Valid Spatial Correlation Matrix

  11. Process Variation Exhibits Spatial Correlation • Correlation of device parameters depends on spatial locations • The closer devices  the higher probability they are similar • Impact of spatial correlation • Considering vs not considering 30% difference in timing [Chang ICCAD03] • Spatial variation is very important: 40~65% of total variation [Nassif, ISQED00]

  12. Modeling of Process Variation • f0 is the mean value with the systematic variation considered • h0: nominal value without process variation • ZD2D,sys: die-to-die systematic variation (e.g., depend on locations at wafers) • ZWID,sys: within-die systematic variation (e.g., depend on layout patterns at dies) • Extracted by averaging measurements across many chips • Fr models the random variation with zero mean • ZD2D,rnd: inter-chip random variation  Xg • ZWID,rnd: within-chip spatial variation Xs with spatial correlation ρ • Xr: Residual uncorrelated random variation • How to extract Fr key problem • Simply averaging across dies will not work • Assume variation is Gaussian

  13. Process Variation Characterization via Correlation Matrix • Characterized by variance of individual component + a positive semidefinite spatial correlation matrix for M points of interests • In practice, superpose fixed grids on a chip and assume no spatial variation within a grid • Require a technique to extract a valid spatial correlation matrix • Useful as most existing SSTA approaches assumed such a valid matrix • But correlation matrix based on grids may be still too complex • Spatial resolution is limited points can’t be too close (accuracy) • Measurement is expensive can’t afford measurement for all points Global variance Overall variance Spatial variance Random variance Spatial correlation matrix

  14. Process Variation Characterization via Correlation Function • A more flexible model is through a correlation function • If variation follows a homogeneous and isotropic random (HIR) field  spatial correlation described by a valid correlation function (v) • Dependent on their distance only • Independent of directions and absolute locations • Correlation matrices generated from (v) are always positive semidefinite • Suitable for a matured manufacturing process d1 Spatial covariance 2 d1 1 1 Overall process correlation 3 1 d1

  15. Outline • Process Variation • Spatial Correlation Modeling • Robust Extraction of Valid Spatial Correlation Function • Robust Extraction of Valid Spatial Correlation Matrix

  16. Robust Extraction of Spatial Correlation Function • Given: noisy measurement data for the parameter of interest with possible inconsistency • Extract: global variance G2, spatial variance S2, random variance R2, and spatial correlation function (v) • Such that: G2, S2, R2 capture the underlying variation model, and (v) is always valid M measurement sites fk,i: measurement at chip k and location i 1 2 Global variance Spatial variance i … Random variance M 1 k Valid spatial correlation function N sample chips How to design test circuits and place them are not addressed in this work

  17. Extraction Individual Variation Components • Variance of the overall chip variation • Variance of the global variation • Spatial covariance • We obtain the product of spatial variance S2 and spatial correlation function (v) • Need to separately extract S2 and (v) • (v) has to be a valid spatial correlation function Unbiased Sample Variance

  18. Robust Extraction of Spatial Correlation • Solved by forming a constrained non-linear optimization problem • Difficult to solve  impossible to enumerate all possible valid functions • In practice, we can narrow (v) down to a subset of functions • Versatile enough for the purpose of modeling • One such a function family is given by • K is the modified Bessel function of the second kind • is the gamma function • Real numbers b and s are two parameters for the function family • More tractable  enumerate all possible values for b and s

  19. Robust Extraction of Spatial Correlation • Reformulate another constrained non-linear optimization problem Different choices of b and s  different shapes of the function each function is a valid spatial correlation function

  20. Outline • Process Variation • Spatial Correlation Modeling • Robust Extraction of Valid Spatial Correlation Function • Robust Extraction of Valid Spatial Correlation Matrix

  21. Robust Extraction of Spatial Correlation Matrix • Given: noisy measurement data at M number of points on a chip • Extract: the valid correlation matrix  that is always positive semidefinite • Useful when spatial correlation cannot be modeled as a HIR field • Spatial correlation function does not exist • SSTA based on PCA requires  to be valid for EVD M measurement sites 1 2 fk,i: measurement at chip k and location i i … M 1 k Valid correlation matrix N sample chips

  22. Extract Correlation Matrix from Measurement • Spatial covariance between two locations • Variance of measurement at each location • Measured spatial correlation • Assemble all ij into one measured spatial correlation matrix A • But A may not be a valid because of inevitable measurement noise

  23. Robust Extraction of Correlation Matrix • Find a closest correlation matrix  to the measured matrix A • Convex optimization problem • Solved via an alternative projection algorithm • Details in the paper

  24. Reference • Sani R. Nassif, “Design for Variability in DSM Technologies”. ISQED 2000: 451-454 • Jinjun Xiong, Vladimir Zolotov, Lei He, "Robust Extraction of Spatial Correlation," ( Best Paper Award ) IEEE/ACM International Symposium on Physical Design , 2006.

More Related