1 / 25

Sekvenční logické obvody

Sekvenční logické obvody. Střední odborná škola Otrokovice. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Miloš Zatloukal

neil-mercer
Download Presentation

Sekvenční logické obvody

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Sekvenční logické obvody Střední odborná škola Otrokovice Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Miloš Zatloukal Dostupné z Metodického portálu www.rvp.cz, ISSN: 1802-4785, financovaného z ESF a státního rozpočtu ČR. Provozováno Výzkumným ústavem pedagogickým v Praze. www.zlinskedumy.cz

  2. Charakteristika DUM 2

  3. Sekvenční logické obvody Obsah tématuVlastnosti sekvenčních obvodůSložení sekvenčního obvoduDělení sekvenčních obvodů- podle potřeby časovacího signálu-podle aktivní části impulzu časovacího signáluPřehled sekvenčních obvodů - základní (jednoduché) – klopné obvody typu RS, JK, D, T - složitější (posuvné registry, čítače, paměti, mikroprocesory, jednočipové mikropočítače, mikrokontroléry)

  4. Sekvenční logické obvody Vlastnosti sekvenčního logického obvodu - chová se zčásti podobně jako kombinační logický obvod (jeho výstup je závislý na kombinaci aktuálních vstupů) - zároveň ale jeho výstup závisí na předchozích stavech (vstupů a výstupů) - aby znal předchozí stavy potřebuje paměť - jeho struktura i návrh je složitější než u kombinačních obvodů - jeho činnost se dá popsat tabulkou (jsou zde určité odlišnosti pro značení vstupních a výstupních signálů) - pojem sekvenční znamená časovou posloupnost signálů či stavů

  5. Sekvenční logické obvody Na čem tedy závisí výstup sekvenčního logického obvodu? Současně na: - aktuálních stavech vstupů - předchozích stavech (vstupů a výstupů) - vnitřním stavu tohoto obvodu Obr. 1: Blokové schéma sekvenčního logického obvodu

  6. Sekvenční logické obvody Sekvenční obvod je tvořen: Kombinační částí – obsahuje logické členy – kombinuje signály na vstupu se signály z výstupu Paměťovou částí – obsahuje také logické členy, ale navíc je zde zavedena zpětná vazba – tím vzniká jednoduchý paměťový člen typu bistabilní klopný obvod – ukládají se sem informace z výstupu jako stavy vnitřních proměnných – tím je dosaženo toho, že na stejné hodnoty vstupů nereaguje sekvenční obvod vždy stejnou odezvou (jako tomu bylo u kombinačních logických obvodů)

  7. Sekvenční logické obvody I. Dělení sekvenčních obvodů podle potřeby časovacího signálu: Asynchronní – změna vstupů se okamžitě promítne do stavu výstupu – zpoždění signálu nastává pouze průchodem tohoto signálu logickými členy (v rozsáhlých obvodech dochází k různým hodnotám zpoždění – vznikají hazardní stavy, – různé rušivé impulsy) – složitá zapojení jsou proto navrhována pouze jako synchronní Příklad: klopný obvod RS, asynchronní čítač (např. typ 7490) Synchronní – vyžadují časovací signál – nemění stav na výstupu ihned po změně vstupů, ale až po změně časovacího signálu (hodinový (CLOCK, C, CLK, T) – obvod může měnit své stavy jen v určitých okamžicích podle jedné z částí časovacího signálu (některá z hran nebo úroveň) Příklad: klopné obvody RST, JK (např. typ 7472), D (typ 7474 a 7475), posuvný registr (typ 74164), synchronní čítač (např. typ 74193)

  8. Sekvenční logické obvody Časové řízení sekvenčního obvodu: – sekvenční obvody vyžadují pro svoji řádnou činnost časové řídicí signály – obecně jde o periodické číslicové signály označované také jako časovací = taktovací = hodinové = synchronizační – značení časovacího signálu – T (takt, Time) – C = CLK (Clock) – aktivní část časovacího signálu obdélníkového průběhu – hrany impulzu – náběžná = vzestupná = přechod z nuly do jedničky – týlová = sestupná = přechod z jedničky do nuly – temene impulzu = úroveň (rozumí se úroveň logické jedničky) (obecně hrany trvají velmi krátký čas, temeno pak mnohem delší čas)

  9. Sekvenční logické obvody II. Dělení synchronních sekvenčních obvodů podle aktivní části časovacího impulzu – hranové (obvod reaguje na stavy vstupů jen při příchodu některé z hran – viz obrázek 2., okrová = náběžná, modrá týlová = sestupná) Příklad: klopný obvod JK, varianta klopného obvodu D (typ 7474) – úrovňové (obvod reaguje na změny stavů vstupů po celou dobu trvání jedničky = temene časovacího impulzu – v obrázku 2 vyznačeno červeně) Příklad: klopný obvod RST, varianta klopného obvodu D (typ 7475) Obr. 2: Idealizovaný časovací impulz s náběžnou hranou, temenem a sestupnou hranou

  10. Sekvenční logické obvody Obr. 3: Vstupy a výstupy sekvenčního logického obvodu

  11. Sekvenční logické obvody III. Dělení sekvenčních obvodů podle složitosti: – Základní (jednoduché) klopné obvody typu – RS – JK – D – T – Složitější – posuvné registry – čítače – paměti – mikroprocesory, jednočipové mikropočítače a mikrokontroléry

  12. Sekvenční logické obvody – klopné obvody RS - má dva vstupy - R: Reset = nulování (Q = 0) - S: Set = nastavení (Q = 1) - je asynchronní - realizovatelný pomocí dvou členů (NAND nebo NOR) - vykazuje neurčitý stav - použití: - nastavení výchozích stavů jiných klopných obvodů - tvoří základ dalších složitějších klopných obvodů (např. RS synchronní = RST) Obr. 4: Schématická značka klopného obvodu R-S

  13. Sekvenční logické obvody – klopné obvody RS synchronní (RST) - má tři vstupy - R: Reset = nulování (Q = 0) - S: Set = nastavení (Q = 1) - T: Časování = Time, takt - je synchronní (řízen úrovní) - realizovatelný pomocí čtyř členů (NAND nebo NOR) - vykazuje neurčitý stav - použití: - nastavení výchozích stavů jiných klopných obvodů - vytvářejí se z něj další složitější klopné obvody (např. JK, D, T) Obr. 5: Schématická značka klopného obvodu RST

  14. Sekvenční logické obvody – klopné obvody JK (asynchronní) - písmena J, K nevyplývají z funkce vstupů - má dva vstupy - J: Set = nastavení ( Q = 1) - K: Reset = nulování (Q = 0) - asynchronní - nevykazuje neurčitý stav - při určité kombinaci vstupů se výstup změní na opačný = překlopí se - použití: nepoužívá se Obr. 6: Schématická značka klopného obvodu JK

  15. Sekvenční logické obvody – klopné obvody JK (synchronní) = JKT - písmena J, K nevyplývají z funkce vstupů - má tři vstupy - J (Set) - K (Reset) - T (Time, takt) - synchronní (řízen sestupnou hranou) - realizovatelný pomocí obvodů RST - nevykazuje neurčitý stav - při určité kombinaci vstupů se výstup změní na opačný = překlopí se - použití: pro konstrukci složitějších sekvenčních obvodů – např. posuvných registrů, čítačů Obr. 7: Schématická značka synchronního klopného obvodu JKT

  16. Sekvenční logické obvody – klopné obvody D - D jako Delay (zpoždění) - vstupy: - jeden signálový (= datový vstup D) - jeden časovací (= řídicí vstup T) - synchronní řízení - vzestupnou hranou (7474) nebo - úrovní (7475) - realizovatelný pomocí obvodů RST - nevykazuje neurčitý stav - při správném stavu signálu T kopíruje stav datového vstupu na výstup Q - použití: pro konstrukci složitějších sekvenčních obvodů – např. posuvných registrů, čítačů Obr. 8: Schématická značka klopného obvodu D

  17. Sekvenční logické obvody – klopné obvody T - T jako Trigger (spuštění, přepnutí) vstup: jeden společný vstup T signálový i časovací - synchronní řízení - sestupnou hranou nebo - vzestupnou hranou - realizovatelný pomocí obvodů synchronních obvodů JK a D (7474) - nevykazuje neurčitý stav - při správném stavu signálu T se výstup Q neustále překlápí - použití: pro konstrukci složitějších sekvenčních obvodů – např. posuvných registrů, čítačů Obr. 9: Schématická značka klopného obvodu T

  18. Sekvenční logické obvody – složitější Složitější sekvenční obvody Posuvné registry - lze je realizovat ze synchronních klopných obvodů typu JK a D - posouvají stav datového vstupu na výstup podle řízení - lze je využít jako převodník sériového tvaru na paralelní (pro n-bitové číslo) - další využití mají jako - paměť - zpožďovací článek - dělicí a násobicí obvod pro mocniny dvojky Čítače - lze je realizovat ze synchronních klopných obvodů typu JK a D - počítají impulzy, které jim přicházejí na vstup - zároveň dělí kmitočet vstupujících impulzů zadaným číslem - používají se pro měření kmitočtu, děličky kmitočtu číslem, v časoměrných obvodech

  19. Sekvenční logické obvody – složitější Paměti - lze je realizovat z bistabilních klopných obvodů - uchovávají informace (data) na zadané adresy pomocí řízení kombinace časování a zadání požadavku na operační režim - zápis (do paměti) - čtení (z paměti) - liší se fyzikálním principem, uchováním obsahu bez napájení, množstvím pojmutelné informace (kapacitou), rychlostí čtení a zápisu, mazatelností, cenou za uložení 1 bitu, spolehlivostí, délkou doby uchování informace, způsobem uspořádání buněk v paměti (organizace paměti)… Mikroprocesor - procesor zmenšený do jediného integrovaného obvodu, je řízen programem (je programovatelný) (jde tedy o monolitický nebo-lijednočipový procesor, příklad I 8080, Z 80)

  20. Sekvenční logické obvody – složitější Jednočipový mikropočítač - jde o kompletní počítač (mikropočítač) zmenšený do jednoho integrovaného obvodu s vysokou hustotou integrace (LSI), obsahuje tedy tři bloky – vstup, centrální jednotku a výstup, je řízen programem (je programovatelný)Příklad: I 8048, I 8051 Mikrokontrolér- jde o jednočipový mikropočítač určený jako ústřední řídicí prvek pro nejrůznější přístroje (audiovizuální, měřicí, domácí spotřebiče, mobilní technika…) - není univerzální (menší možnosti rozšíření jeho funkcí), má vysoký výpočetní výkon (procesor s architekturou RISC) a nízkou cenu Příklad: obvody PIC od výrobce Microchip.

  21. Sekvenční logický obvod se liší od kombinačního: Tím, že obsahuje paměť Na stejné stavy vstupů reaguje proměnlivě Má jednodušší vnitřní strukturu Kontrolní otázky Asynchronní sekvenční obvod: Vyžaduje časovací signál Reaguje bezprostředně na stavy vstupů změnou výstupu Reaguje na hranu nebo úroveň časovacího signálu 3. Úrovňový synchronní sekvenční obvod je řízen: Vzestupnou hranou časovacího signálu T Sestupnou hranou časovacího signálu T Logickou jedničkou časovacího signálu T

  22. Sekvenční logický obvod se liší od kombinačního: Tím, že obsahuje paměť Na stejné stavy vstupů reaguje proměnlivě Má jednodušší vnitřní strukturu Kontrolní otázky – správné odpovědi – červeně Asynchronní sekvenční obvod: Vyžaduje časovací signál Reaguje bezprostředně na stavy vstupů změnou výstupu Reaguje na hranu nebo úroveň časovacího signálu 3. Úrovňový synchronní sekvenční obvod je řízen: Vzestupnou hranou časovacího signálu T Sestupnou hranou časovacího signálu T Logickou jedničkou časovacího signálu T

  23. Seznam obrázků: Obr. 1: vlastní, Blokové schéma sekvenčního logického obvodu Obr. 2: vlastní, Idealizovaný časovací impulz s náběžnou hranou, temenem a sestupnou hranou Obr. 3: vlastní, Vstupy a výstupy sekvenčního logického obvodu Obr. 4: vlastní, Schématická značka klopného obvodu RS Obr. 5: vlastní, Schématická značka klopného obvodu RST Obr. 6: vlastní, Schématická značka klopného obvodu JK Obr. 7: vlastní, Schématická značka synchronního klopného obvodu JKT Obr. 8: vlastní, Schématická značka klopného obvodu D Obr. 9: vlastní, Schématická značka klopného obvodu T

  24. Seznam použité literatury: [1] Matoušek, D.: Číslicová technika, BEN, Praha, 2001, ISBN 80-7232-206-0 [2] Blatný, J., Krištoufek, K., Pokorný, Z., Kolenička, J.: Číslicové počítače, SNTL, Praha, 1982 [3] Kesl, J.: Elektronika III – Číslicová technika, BEN, Praha, 2003, ISBN 80-7300-075-X [4] Pinker, J.,Poupa, M.: Číslicové systémy a jazyk VHDL, BEN, Praha, 2006, ISBN 80-7300-198-5

  25. Děkuji za pozornost 

More Related