1 / 15

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD). Maryam Ebrahimi University of Waterloo January 17 th , 2006 Chem 750/7530. Outline. ALD Theory and Process Precursor Requirements Deposition Advantages Comparison to CVD Process Applications. What is ALD?. ALD (Atomic Layer Deposition)

ciara-rojas
Download Presentation

Atomic Layer Deposition (ALD)

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Atomic Layer Deposition (ALD) Maryam Ebrahimi University of Waterloo January 17th, 2006 Chem 750/7530

  2. Outline • ALD Theory and Process • Precursor Requirements • Deposition Advantages • Comparison to CVD Process • Applications

  3. What is ALD? • ALD (Atomic Layer Deposition) • Deposition method by which precursor gases or vapors are alternately pulsed on to the substrate surface. • Precursor gases introduced on to the substrate surface will chemisorb or surface reaction takes place at the surface • Surface reactions on ALD are complementarity and self-limiting

  4. In air H2O vapor is adsorbed on most surfaces, forming a hydroxyl group. With silicon this forms : Si-O-H After placing the substrate in the reactor, Trimethyl Aluminum (TMA) is pulsed into the reaction chamber Tri-methyl aluminum Al(CH3)3(g) Methyl group (CH3) Al H C Hydroxyl (OH) from surface adsorbed H2O H H H O Substrate surface (e.g. Si) ALD Example Cycle for Al2O3 Deposition

  5. Methane reaction product CH4 H Reaction of TMA with OH H C H H H H C C H H H Al O Substrate surface (e.g. Si) ALD Cycle for Al2O3 • Trimethyl Aluminum (TMA) reacts with the adsorbed hydroxyl groups, producing methane as the reaction products Al(CH3)3 (g) + : Si-O-H (s):Si-O-Al(CH3)2(s) + CH4

  6. Trimethyl Aluminum (TMA) reacts with the adsorbed hydroxyl groups, until the surface is passivated. TMA does not react with itself, terminating the reaction to one layer. This causes the perfect uniformity of ALD. The excess TMA is pumped away with the methane reaction product. ALD Cycle for Al2O3

  7. After the TMA and methane reaction product is pumped away, water vapor (H2O) is pulsed into the reaction chamber. ALD Cycle for Al2O3

  8. ALD Cycle for Al2O3 • H2O reacts with the dangling methyl groups on the new surface forming Aluminum-oxygen (Al-O) bridges and hydroxyl surface groups, waiting for a new TMA pulse. Again methane is the reaction product. 2 H2O (g) + :Si-O-Al(CH3)2(s)  :Si-O-Al(OH)2(s) + 2 CH4

  9. The reaction product methane is pumped away. Excess H2O vapor does not react with the hydroxyl surface group, again causing perfect passivation to one atomic layer. ALD Cycle for Al2O3

  10. One TMA and one H2O vapor pulse form one cycle. Here three cycles are shown, with approximately 1 Angstrom per cycle. Each cycle including pulsing and pumping takes e.g. 3 sec. ALD Cycle for Al2O3 Two reaction steps in each cycle: • Al (CH3)3 (g) + :Al-O-H (s) :Al-O-Al(CH3)2(s) + CH4 2 H2O (g) + :O-Al(CH3)2(s)  :Al-O-Al(OH)2(s) + 2 CH4

  11. ALD Precursor Requirements • Must be volatile and thermally stable • Preferably liquids and gases • Should Chemisorb onto the surface or rapidly react with surface and react aggressively with each other -Short saturation time, good deposition rate, no gas phase reactions • Should not self-decompose - Affect thickness, uniformity • Should not etch, dissolute into film or substrate

  12. Deposition Advantages Alternating reactant exposure creates unique properties of deposited coatings: • Thickness is determined simply by number of deposition cycles • Precursors are saturatively chemisorbed → stochiometric films with large area uniformity and 3D conformality • Intrinsic deposition uniformity • Low temperature deposition possible • Gentle deposition process for sensitive substrate

  13. ALD Highly reactive precursors Precursors react separately on the substrate Precursors must not decompose at process temperature Uniformity ensured by the saturation mechanism Thickness control by counting the number of reaction cycles Surplus precursor dosing acceptable CVD Less reactive precursors Precursors react at the same time on the substrate Precursors can decompose at process temperature Uniformity requires uniform flux of reactant and temperature Thickness control by precise process control and monitoring Precursor dosing important Comparison of ALD and CVD

  14. ALD Applications summary Piezoelectric layers (ZnO, AlN, ZnS) Transparent Electrical Conductors (ZnO:Al, ITO) UV blocking layers (ZnO, TiO2) OLED passivation (Al2O3) Solid Lubricant layers (WS2, ) Photonic crystals (ZnO, ZnS:Mn, TiO2, Ta2N5, ) coatings inside porous alumina, inverted opals Anti-reflection and optical filters (Al2O3, ZnS, SnO2, Ta2O5) Fabry-Perot, Rugate, Flip-Flop optical filters Electroluminescent devices (SrS:Cu, ZnS:Mn, ZnS:Tb, SrS:Ce) Processing layers (Al2O3, ZrO2, Etch barriers, ion diffusion barriers, fill layers for magnetic read heads Optical applications (AlTiO, SnO2, ZnO) Photonics, Nanophotonics, Solar cells, integrated optics, optical coatings, lasers, variable dielectric constant nanolaminates Sensors (SnO2, Ta2O5, ) Gas sensors, pH sensors, Wear and corrosion inhibiting layers (Al2O3, ZrO2) High-k dielectrics (Al2O3, HfO2, ZrO2, Ta2O5, La2O3,) for transistor gates and DRAM capacitors in Si, GaAs, Heterostructures, compound semiconductors, Mesfets, III-V Semiconductor materials, organic transistors, graphene, graphite, nanotubes, nanowires, molecular electronics, Conductive gate electrodes (Ir, Pt, Ru, TiN, ) Metal interconnects and liners (Cu, WN, TaN, WNC, Ru, Ir) Metallic diffusion barrier layers for copper interconnects and semiconductor vias for transistor gate and memory cell applications, DRAM capacitors, Passivation layers Catalytic materials (Pt, Ir, Co, TiO2, V2O5) Coatings inside filters, membranes, catalysts (thin economical Pt for automobile catalytic converters), fuel cells ion exchange coatings Nanostructures (all materials) Conformal deposition around and inside nanostructures and MEMS Biomedical coatings: (TiN,ZrN,CrN,TiAlN,AlTiN) Biocompatible materials for in-vivo medical devices and instruments ALD metals (Ru, Pd, Ir, Pt, Rh, Co, Cu, Fe, Ni)

  15. References • Cambridge NanoTech Inc., Cambridge, MA 02139 USA www.cambridgenanotech.com/.../ Atomic%20Layer%20Deposition%20tutorial%20Cambridge%20NanoTech%20Inc.pdf • www.mne.umd.edu/.../465_spring_2003/465_ spr2003_final_project_results/ALD-finalpres-465-spr2003.pdf • ICKNOWLEDGE LLC, Georgetown, MA 01833, www.icknowledge.com/misc_technology/ Atomic%20Layer%20Deposition%20Briefing.pdf • B.S.Lim, A. Rahtu and R.G. Gordon, Nature Materials, 2 (2003) 749-754

More Related