580 likes | 624 Views
ECE 448 Lecture 5. Sequntial-Circuit Building Blocks. R eading. Required. P. Chu, FPGA Prototyping by VHDL Examples Chapter 4, Regular Sequential Circuit. Recommended. S. Brown and Z. Vranesic , Fundamentals of Digital Logic with VHDL Design
E N D
ECE 448 Lecture 5 Sequntial-Circuit Building Blocks ECE 448 – FPGA and ASIC Design with VHDL
Reading Required • P. Chu, FPGA Prototyping by VHDL Examples • Chapter 4, Regular Sequential Circuit Recommended • S. Brown and Z. Vranesic,Fundamentals of Digital Logic with VHDL Design • Chapter 7, Flip-Flops, Registers, Counters, • and a Simple Processor ECE 448 – FPGA and ASIC Design with VHDL
Behavioral Design Style: Registers & Counters ECE 448 – FPGA and ASIC Design with VHDL
VHDL Design Styles dataflow VHDL Design Styles structural behavioral Components and interconnects Concurrent statements Sequential statements • Registers • Shift registers • Counters • State machines synthesizable and more if you are careful ECE 448 – FPGA and ASIC Design with VHDL
Processes in VHDL • Processes Describe Sequential Behavior • Processes in VHDL Are Very Powerful Statements • Allow to define an arbitrary behavior that may be difficult to represent by a real circuit • Not every process can be synthesized • Use Processes with Caution in the Code to Be Synthesized • Use Processes Freely in Testbenches ECE 448 – FPGA and ASIC Design with VHDL
Anatomy of a Process OPTIONAL [label:]PROCESS[(sensitivity list)] [declaration part] BEGIN statement part ENDPROCESS [label]; ECE 448 – FPGA and ASIC Design with VHDL
List of signals to which the process is sensitive. Whenever there is an event on any of the signals in the sensitivity list, the process fires. Every time the process fires, it will run in its entirety. WAIT statements are NOT ALLOWED in a processes with SENSITIVITY LIST. label: process (sensitivity list) declaration part begin statement part end process; PROCESS with a SENSITIVITY LIST ECE 448 – FPGA and ASIC Design with VHDL
All signals which appear on the left of signal assignment statement (<=) are outputs e.g. y, z All signals which appear on the right of signal assignment statement (<=) or in logic expressions are inputse.g. w, a, b, c All signals which appear in the sensitivity list are inputs e.g. clk Note that not all inputs need to be included in the sensitivity list Component Equivalent of a Process clk y w priority a z b c priority: PROCESS (clk) BEGIN IF w(3) = '1' THEN y <= "11" ; ELSIF w(2) = '1' THEN y <= "10" ; ELSIF w(1) = c THEN y <= a and b; ELSE z <= "00" ; END IF ; END PROCESS ; ECE 448 – FPGA and ASIC Design with VHDL
Registers ECE 448 – FPGA and ASIC Design with VHDL
Q D Clock D latch Truth table Graphical symbol Q(t+1) Clock D Q(t) – 0 0 1 0 1 1 1 Timing diagram t t t t 1 2 3 4 Clock D Q Time ECE 448 – FPGA and ASIC Design with VHDL
Q D Clock D flip-flop Truth table Graphical symbol Q(t+1) Clk D 0 0 1 1 – Q(t) 0 Q(t) 1 – Timing diagram t t t t 1 2 3 4 Clock D Q Time ECE 448 – FPGA and ASIC Design with VHDL
Q D Clock D latch LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY latch IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END latch ; ARCHITECTURE behavioral OF latch IS BEGIN PROCESS ( D, Clock ) BEGIN IF Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END behavioral; ECE 448 – FPGA and ASIC Design with VHDL
D flip-flop LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE behavioral OF flipflop IS BEGIN PROCESS ( Clock ) BEGIN IF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END behavioral ; Q D Clock ECE 448 – FPGA and ASIC Design with VHDL
D flip-flop LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE behavioral2 OF flipflop IS BEGIN PROCESS ( Clock ) BEGIN IF rising_edge(Clock) THEN Q <= D ; END IF ; END PROCESS ; END behavioral2; Q D Clock ECE 448 – FPGA and ASIC Design with VHDL
D flip-flop LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE behavioral3 OF flipflop IS BEGIN PROCESS BEGIN WAIT UNTIL rising_edge(Clock) ; Q <= D ; END PROCESS ; END behavioral3 ; Q D Clock ECE 448 – FPGA and ASIC Design with VHDL
D flip-flop with asynchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop_ar IS PORT ( D, Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop_ar ; ARCHITECTURE behavioral OF flipflop_ar IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q <= '0' ; ELSIF rising_edge(Clock) THEN Q <= D ; END IF ; END PROCESS ; END behavioral ; Q D Clock Resetn ECE 448 – FPGA and ASIC Design with VHDL
D flip-flop with synchronous reset • LIBRARY ieee ; • USE ieee.std_logic_1164.all ; • ENTITY flipflop_sr IS • PORT ( D, Resetn, Clock : IN STD_LOGIC ; • Q : OUT STD_LOGIC) ; • END flipflop_sr ; • ARCHITECTURE behavioral OF flipflop_sr IS • BEGIN • PROCESS(Clock) • BEGIN • IF rising_edge(Clock) THEN • IF Resetn = '0' THEN • Q <= '0' ; • ELSE • Q <= D ; • END IF ; • END IF; • END PROCESS ; • END behavioral ; Q D Clock Resetn ECE 448 – FPGA and ASIC Design with VHDL
Asychronous vs. Synchronous • In the IF loop, asynchronous items are • Before the rising_edge(Clock) statement • In the IF loop, synchronous items are • After the rising_edge(Clock) statement ECE 448 – FPGA and ASIC Design with VHDL
8 8 Resetn D Q Clock reg8 8-bit register with asynchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY reg8 IS PORT ( D : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ; END reg8 ; ARCHITECTURE behavioral OF reg8 IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q <= "00000000" ; ELSIF rising_edge(Clock) THEN Q <= D ; END IF ; END PROCESS ; END behavioral ;` ECE 448 – FPGA and ASIC Design with VHDL
N N Resetn D Q Clock regn N-bit register with asynchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY regn IS GENERIC ( N : INTEGER := 16 ) ; PORT ( D : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END regn ; ARCHITECTURE behavioral OF regn IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q <= (OTHERS => '0') ; ELSIF rising_edge(Clock) THEN Q <= D ; END IF ; END PROCESS ; END behavioral ; ECE 448 – FPGA and ASIC Design with VHDL
A word on generics • Generics are typically integer values • In this class, the entity inputs and outputs should be std_logic or std_logic_vector • But the generics can be integer • Generics are given a default value • GENERIC ( N : INTEGER := 16 ) ; • This value can be overwritten when entity is instantiated as a component • Generics are very useful when instantiating an often-used component • Need a 32-bit register in one place, and 16-bit register in another • Can use the same generic code, just configure them differently ECE 448 – FPGA and ASIC Design with VHDL
Use of OTHERS OTHERS stand for any index value that has not been previously mentioned. Q <= “00000001” can be written as Q <= (0 => ‘1’, OTHERS => ‘0’) Q <= “10000001” can be written as Q <= (7 => ‘1’, 0 => ‘1’, OTHERS => ‘0’) or Q <= (7 | 0 => ‘1’, OTHERS => ‘0’) Q <= “00011110” can be written as Q <= (4 downto 1=> ‘1’, OTHERS => ‘0’) ECE 448 – FPGA and ASIC Design with VHDL
N N N-bit register with enable LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY regne IS GENERIC ( N : INTEGER := 8 ) ; PORT ( D : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Enable, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END regne ; ARCHITECTURE behavioral OF regne IS BEGIN PROCESS (Clock) BEGIN IF rising_edge(Clock) THEN IF Enable = '1' THEN Q <= D ; END IF ; END IF; END PROCESS ; END behavioral ; Enable Q D Clock regn ECE 448 – FPGA and ASIC Design with VHDL
Counters ECE 448 – FPGA and ASIC Design with VHDL
2 Clear Q upcount Clock 2-bit up-counter with synchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_unsigned.all ; ENTITY upcount IS PORT ( Clear, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ) ; END upcount ; ARCHITECTURE behavioral OF upcount IS SIGNAL Count : std_logic_vector(1 DOWNTO 0); BEGIN upcount: PROCESS ( Clock ) BEGIN IF rising_edge(Clock) THEN IF Clear = '1' THEN Count <= "00" ; ELSE Count <= Count + 1 ; END IF ; END IF; END PROCESS; Q <= Count; END behavioral; ECE 448 – FPGA and ASIC Design with VHDL
Enable 4 Q Clock upcount Resetn 4-bit up-counter with asynchronous reset (1) LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_unsigned.all ; ENTITY upcount_ar IS PORT ( Clock, Resetn, Enable : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)) ; END upcount_ar ; ECE 448 – FPGA and ASIC Design with VHDL
Enable 4 Q Clock upcount Resetn 4-bit up-counter with asynchronous reset (2) ARCHITECTURE behavioral OF upcount _ar IS SIGNAL Count : STD_LOGIC_VECTOR (3 DOWNTO 0) ; BEGIN PROCESS ( Clock, Resetn ) BEGIN IF Resetn = '0' THEN Count <= "0000" ; ELSIF rising_edge(Clock) THEN IF Enable = '1' THEN Count <= Count + 1 ; END IF ; END IF ; END PROCESS ; Q <= Count ; END behavioral ; ECE 448 – FPGA and ASIC Design with VHDL
Shift Registers ECE 448 – FPGA and ASIC Design with VHDL
Shift register – internal structure D D D D Q Q Q Q Q(1) Q(0) Q(2) Q(3) Sin Clock Enable ECE 448 – FPGA and ASIC Design with VHDL
Shift Register With Parallel Load D(0) D D D D Q Q Q Q Load D(3) D(1) D(2) Sin Clock Enable Q(3) Q(2) Q(1) Q(0) ECE 448 – FPGA and ASIC Design with VHDL
4 4 Enable D Q Load Sin shift4 Clock 4-bit shift register with parallel load (1) LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shift4 IS PORT ( D : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; Enable : IN STD_LOGIC ; Load : IN STD_LOGIC ; Sin : IN STD_LOGIC ; Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END shift4 ; ECE 448 – FPGA and ASIC Design with VHDL
4 4 Enable D Q Load Sin shift4 Clock 4-bit shift register with parallel load (2) ARCHITECTURE behavioral OF shift4 IS SIGNAL Qt : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (Clock) BEGIN IF rising_edge(Clock) THEN IF Load = '1' THEN Qt <= D ; ELSIF Enable = ‘1’ THEN Qt <= Sin & Qt(3 downto 1); END IF ; END IF ; END PROCESS ; Q <= Qt; END behavioral ; ECE 448 – FPGA and ASIC Design with VHDL
Enable N N D Q Load Sin shiftn Clock N-bit shift register with parallel load (1) LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shiftn IS GENERIC ( N : INTEGER := 8 ) ; PORT ( D : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Enable : IN STD_LOGIC ; Load : IN STD_LOGIC ; Sin : IN STD_LOGIC ; Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END shiftn ; ECE 448 – FPGA and ASIC Design with VHDL
Enable N N D Q Load Sin shiftn Clock N-bit shift register with parallel load (2) ARCHITECTURE behavioral OF shiftn IS SIGNAL Qt: STD_LOGIC_VECTOR(N-1 DOWNTO 0); BEGIN PROCESS (Clock) BEGIN IF rising_edge(Clock) THEN IF Load = '1' THEN Qt <= D ; ELSIF Enable = ‘1’ THEN Qt <= Sin & Qt(N-1 downto 1); END IF; END IF ; END PROCESS ; Q <= Qt; END behavior al; ECE 448 – FPGA and ASIC Design with VHDL
Generic ComponentInstantiation ECE 448 – FPGA and ASIC Design with VHDL
N N N-bit register with enable LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY regn IS GENERIC ( N : INTEGER := 8 ) ; PORT ( D : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Enable, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END regn ; ARCHITECTURE Behavior OF regn IS BEGIN PROCESS (Clock) BEGIN IF (Clock'EVENT AND Clock = '1' ) THEN IF Enable = '1' THEN Q <= D ; END IF ; END IF; END PROCESS ; END Behavior ; Enable Q D Clock regn ECE 448 – FPGA and ASIC Design with VHDL
Circuit built of medium scale components s(0) En r(0) p(0) 0 1 r(1) q(1) Enable w p(1) w y 0 y 1 3 z(3) t(3) 1 q(0) w r(2) 1 w y p(2) y 0 0 2 z(2) t(2) r(3) w ena D Q 2 y z w 1 z(1) t(1) 3 priority r(4) p(3) y 0 En 0 z(0) t(0) regne dec2to4 1 r(5) Clock Clk s(1) ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (1) LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY priority_resolver IS PORT (r : IN STD_LOGIC_VECTOR(5 DOWNTO 0) ; s : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; clk : IN STD_LOGIC; en : IN STD_LOGIC; t : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END priority_resolver; ARCHITECTURE structural OF priority_resolver IS SIGNAL p : STD_LOGIC_VECTOR (3 DOWNTO 0) ; SIGNAL q : STD_LOGIC_VECTOR (1 DOWNTO 0) ; SIGNAL z : STD_LOGIC_VECTOR (3 DOWNTO 0) ; SIGNAL ena : STD_LOGIC ; ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (2)VHDL-87 COMPONENT mux2to1 PORT (w0, w1, s : IN STD_LOGIC ; f : OUT STD_LOGIC ) ; END COMPONENT ; COMPONENT priority PORT (w : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; y : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ; z : OUT STD_LOGIC ) ; END COMPONENT ; COMPONENT dec2to4 PORT (w : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; En : IN STD_LOGIC ; y : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END COMPONENT ; ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (3)VHDL-87 COMPONENT regn GENERIC ( N : INTEGER := 8 ) ; PORT ( D : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Enable, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END COMPONENT ; ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (4)VHDL-87 BEGIN u1: mux2to1PORT MAP (w0 => r(0) , w1 => r(1), s => s(0), f => p(0)); p(1) <= r(2); p(1) <= r(3); u2: mux2to1PORT MAP (w0 => r(4) , w1 => r(5), s => s(1), f => p(3)); u3: priority PORT MAP (w => p, y => q, z => ena); u4: dec2to4PORT MAP (w => q, En => ena, y => z); ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (5)VHDL-87 u5: regn GENERIC MAP (N => 4) PORT MAP (D => z , Enable => En , Clock => Clk, Q=> t ); END structural; ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (2)VHDL-93 BEGIN u1: work.mux2to1(dataflow) PORT MAP (w0 => r(0) , w1 => r(1), s => s(0), f => p(0)); p(1) <= r(2); p(1) <= r(3); u2: work.mux2to1(dataflow) PORT MAP (w0 => r(4) , w1 => r(5), s => s(1), f => p(3)); u3: work.priority(dataflow) PORT MAP (w => p, y => q, z => ena); ECE 448 – FPGA and ASIC Design with VHDL
Structural description – example (5)VHDL-87 u4: work.dec2to4 (dataflow) PORT MAP (w => q, En => ena, y => z); u5: work.regne(behavioral) GENERIC MAP (N => 4) PORT MAP (D => z , Enable => En , Clock => Clk, Q=> t ); END structural; ECE 448 – FPGA and ASIC Design with VHDL
Mixing Design Styles Inside of an Architecture ECE 448 – FPGA and ASIC Design with VHDL
VHDL Design Styles dataflow VHDL Design Styles structural behavioral Components and interconnects Concurrent statements Sequential statements • Registers • Shift registers • Counters • State machines synthesizable ECE 448 – FPGA and ASIC Design with VHDL
Mixed Style Modeling Concurrent Statements architecture ARCHITECTURE_NAME of ENTITY_NAME is • Here you can declare signals, constants, functions, procedures… • Component declarations begin Concurrent statements: • Concurrent simple signal assignment • Conditional signal assignment • Selected signal assignment • Generate statement • Component instantiation statement • Process statement • inside process you can use only sequential statements end ARCHITECTURE_NAME; ECE 448 – FPGA and ASIC Design with VHDL
Sequential Logic Synthesis for Beginners ECE 448 – FPGA and ASIC Design with VHDL
For Beginners Use processes with very simple structure only to describe - registers - shift registers - counters - state machines. Use examples discussed in class as a template. Create generic entities for registers, shift registers, and counters, and instantiate the corresponding components in a higher level circuit using GENERIC MAP PORT MAP. Supplement sequential components with combinational logic described using concurrent statements. ECE 448 – FPGA and ASIC Design with VHDL
Sequential Logic Synthesis for Intermediates ECE 448 – FPGA and ASIC Design with VHDL