1 / 13

VHDL Project : Design of a ROBOT

VHDL Project : Design of a ROBOT. -Anuja Kumar. Specifications and Assumptions:. 1) 5 degrees of movement – Base movement (A) Shoulder movement (B) Arm movement (C) Wrist Movement (D) Claw movement (E)

rrazo
Download Presentation

VHDL Project : Design of a ROBOT

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. VHDL Project : Design of a ROBOT -Anuja Kumar

  2. Specifications and Assumptions: • 1) 5 degrees of movement – • Base movement (A) • Shoulder movement (B) • Arm movement (C) • Wrist Movement (D) • Claw movement (E) • 2) Five stations- L, S1, S2, S3 and S4 that can load and unload the robot arm and have priorities from highest to lowest respectively. • 3) Load and Unload at same station is not done. • 4) Loading operation at any station consequential of an unloading operation requested by a lower priority station can be interrupted by an unloading operation request by a higher priority station. • 5) Unloading operations cannot be interrupted.

  3. Inputs : Sensors- decides unloading station-requesting station Source-decides loading station

  4. Flowchart

  5. SIMPLISTIC STATE MACHINE

  6. Test Bench • Load at S4 and unload requests from S3,S2,S1,L • Load at S3 and unload requests from S4,S2,S1,L • Load at S2 and unload requests from S4,S3,S1,L • Load at S1 and unload requests from S4,S3,S2,L • Load at L and unload requests from S4,S3,S2,S1 • Reset between each of the above scenarios • Clock : toggling every 50 ns

  7. Simulation Results:Load S4-Unload S3

  8. Simulation results: Load S3-unload S4

  9. Simulation results: Load S2-Unload S1

  10. Simulation results: Load S1-Unload S2

  11. Simulation results: Load L-Unload S3

  12. Simulation results: Interrupt

  13. Thank you..

More Related