1 / 9

RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization

RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization. Processor. Channel. Memory. Limited bandwidth. High energy. Carnegie Mellon University Intel Pittsburgh. RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization. Processor. Channel.

nancy
Download Presentation

RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization Processor Channel Memory Limited bandwidth High energy Carnegie Mellon University Intel Pittsburgh

  2. RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization Processor Channel Memory Limited bandwidth High energy Bulk Data Copy Data Initialization 000000000000 Forking Zeroing Checkpointing VM Cloning Carnegie Mellon University Intel Pittsburgh

  3. RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization Processor Channel Memory Limited bandwidth High energy Bulk Data Copy Data Initialization Unnecessary Data Movement 000000000000 Forking Zeroing Checkpointing VM Cloning Carnegie Mellon University Intel Pittsburgh

  4. RowClone: In-DRAM Bulk Copy & Initialization Source Row Destination Row Row Buffer

  5. RowClone: In-DRAM Bulk Copy & Initialization Source Row 1 Destination Row Row Buffer Copy from source row to row buffer

  6. RowClone: In-DRAM Bulk Copy & Initialization Source Row 1 Destination Row 2 Row Buffer Copy from row buffer to destination row Copy from source row to row buffer

  7. RowClone: In-DRAM Bulk Copy & Initialization Source Row 1 Destination Row 2 Row Buffer Copy from row buffer to destination row Copy from source row to row buffer 11x 74x Latency Energy Very few changes to DRAM (0.01% increase in die area)

  8. RowClone: In-DRAM Bulk Copy & Initialization End-to-end system design to exploit DRAM substrate Several applications that benefit from RowClone

  9. RowClone: In-DRAM Bulk Copy & Initialization End-to-end system design to exploit DRAM substrate Several applications that benefit from RowClone 8-Core System 27% Current Systems Performance RowClone DRAM Energy Efficiency 17%

More Related