1 / 22

Reset Circuit Topologies

This module discusses the key issues and various circuits for power-on reset circuits in FPGA and ASIC designs, analyzing their strengths and weaknesses. It covers both general logic design and specific issues with FPGAs.

Download Presentation

Reset Circuit Topologies

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Reset Circuit Topologies Reference: Analysis of POR Circuit Topologies http://klabs.org/richcontent/fpga_content/DesignNotes/por/por.htm

  2. Introduction to This Module The seemingly simple issue of FPGA and ASIC power-on reset circuits is nevertheless often a frequent cause of problems.  The discussion in this module will cover both the key issues and a variety of circuits, analyzing their strong and weak points.  The discussion will in most cases be general logic design but will deal with some particular issues with Field Programmable Gate Arrays (FPGAs).

  3. Analysis Assumptions • The external POR circuitry is properly designed.  This is not always a valid assumption as there have been many "wacky" implementations of power-on reset circuits.  Design of these circuits is outside the scope of this application note. • The clock is generated by a crystal clock oscillator.  One of the important characteristics of these devices is their response during the turn-on transient, mainly that they take a certain amount of time to start and then become stable.   Additionally, the oscillator may not start clean. • The clock is not gated off.  If that is not true, such as when areas of the circuit where the clock is gated off for power savings, then additional issues arise. • The POR signal is asynchronous to CLK. • The period of CLK is large compared with the metastable state resolution time of the flip-flop being used for synchronization. • The POR assertion time exceeds the clock oscillator and FPGA start times. • The output of the internal POR circuit drives the asynchronous set/reset of flip-flops (alternatively it may be pipelined, which is another distinct case).  Since modern FPGAs all have asynchronous flip-flop inputs, this is often used to save logic resources, delay, and power.  This is an important consideration since these inputs, unlike D's and ENABLE's, are sensitive to glitches.  It is further assumed that timing specifications are met for set/reset distribution including the "removal" time. • Noise pulses on the input POR  signal is a credible situation.

  4. Analysis 7 Assumption Unintended operation or lockup of finite state machines or systems may result if: · The flip-flops come out of reset during different clock periods. · Sets of finite state machines do not come out of reset during the same clock period. · The reset signal is removed from the flip-flops asynchronous set/preset as there is the potential for one or more uncontrolled metastable states if the clock is running. Therefore, only reset circuits that [attempt to] remove power-on reset synchronously (PORS) will be discussed.

  5. Field Problems A significant problem with many power-on reset circuits is that the designers often implement a favored circuit without first establishing the true system requirements and then analyzing the circuit performance to those requirements, particularly under transient or anomalous conditions.  As one example, many power-on reset signals are reliable when bringing the logic on-line after the application of power.  However, many circuits that have been examined have shown poor performance during the turn-off transient, which is critical to protect the contents of devices such as EEPROMs. This module will only deal with power-on circuits and does not handle the power-off transient condition.

  6. System Issues None of the circuits discussed in this module are intended to deal with issues of logic devices not starting (e.g., following their truth table) instantly after the application of power.  These system-level issues, based on system-level requirements, must be analyzed and, if necessary, dealt with at the system level and not at the logic level internal to the FPGA or ASIC. Significant issues related to the analysis and selection of a power-on reset circuit for a particular application include: • Dependence on clock signal being operational • Noise immunity • Critical timing path impact

  7. Figure 1A Discuss

  8. Figure 1A • Fully synchronous • Delays • Delay for oscillator to start (10’s of ms) • But for FPGAs, there’s a delay for FPGA to start, load, release outputs, etc. • Rejects noise that is not coincident with clock edge • External analog filters can help; device input transition times must be met, simple RC circuits may violate timing constraints • Internal digital FSM can act as a filter, care must be taken for startup conditions, latency, timing, metastable states, etc. • No general solution since noise can be arbitrarily large in time

  9. Figure 1B Discuss

  10. Figure 1B • Designer’s intent on this circuit was to filter out noise on the PORS* line. • Note that short pulses coming in can result in a static hazard output on PORS*

  11. Figure 1C Discuss

  12. Figure 1C • Fixes static hazard problem for narrow noise spikes. • Only one input at a time changes to the OR gate (or mux, LUT, etc.).

  13. Asynchronously Applied, Synchronously Removed Circuits Remember, many programmable logic devices will not allow inputs in or outputs out until the device starts.

  14. Figure 2 Discuss

  15. Figure 2 • POR* wrapped around the synchronizing flip-flops to asynchronously assert PORS* • Applied prior to clock start time • Removal is clean since properly sync’d to FFA and FFB • FPGA start time may make the asynchronous application of POR* appear to take action and give a false sense of security to the designer and reviewer for a critical application. • Schematic, or its VHDL equivalent, does not capture the electrical characteristics of the device during the turn-on transient. • Noise or runt pulses will get onto the reset network, resulting in incomplete resets and metastable states. • The logic gate slows the signal down relative to Figure 1.

  16. Figure 3 Discuss

  17. Figure 3 • Fixes some of the problems of Figure 2. • No combinational path; needs a clock. • Transients can be caught by FFA and then cleaned up. Is this bulletproof for a short run pulse?

  18. Figure 4A Discuss

  19. Figure 4A • POR* can be distributed prior to the clock starting. Note that the FPGA must be fully operational too, as discussed above. • FFB will take care of any metastability on the PORA* signal. • Noisy glitches are reasonably well handled. • FFA and FFB should be hand placed to minimize POR* skew. • Runt pulses on POR* are not bulletproof. • Is FFB reliable with POR* removed asynchronously? Note that some aerospace flip-flops are built with TMR structures. Other flip-flops may internally “glitch” or have a hazard and not be stable. • For SX-S circuits, analysis and SPICE simulations have shown that the clear may be asynchronously removed if the D=0.

  20. Figure 4B Discuss

  21. Figure 4B • Extension of the circuit shown in 4A. • Longer reset after detection of a transient.

  22. Notes and References • "Some Characteristics of Crystal Clock Oscillators During the Turn-On Transient."  This application note discusses and shows what the output of an oscillator may be during the turn-on transient.  Examples shows include runt pulses of various sizes and polarities. http://klabs.org/richcontent/General_Application_Notes/oscillator/osc_start_up_note/index.htm • "Asynchronous & Synchronous Reset Design Techniques - Part Deux“ http://klabs.org/richcontent/General_Application_Notes/reset_sync_async_v2.pdf • "Small Explorer WIRE Failure Investigation Report." This is Appendix F of the WIRE Mishap Investigation Board Report, June 8, 1999. http://klabs.org/richcontent/Reports/WIRE_Report.PDF • "Startup Transient," from Advanced Design: Designing for Reliability, 2001 MAPLD International Conference, Laurel, MD, September 10, 2001. http://klabs.org/richcontent/Tutorial/MiniCourses/reliable_design_mapld2001/D_StartupTransient.ppt • "Current Radiation Issues for Programmable Elements and Devices," IEEE Transactions on Nuclear Science, December 1998. http://klabs.org/richcontent/Papers/NSREC98_Paper.pdf • "RH1020 Single Event Clock Upset Summary Report," Richard B. Katz and J. J. Wang, March 5, 1998 http://klabs.org/richcontent/fpga_content/Act_1/rh1020_clk_upset_White_paper.PDF • Thanks to Melanie Berg of Ball for the helpful comments and suggesting to add notes about the extra delay in the reset path when using topologies such as those shown in Figure 2. • "Hazard Analysis," from Design Guidelines and Criteria for Space Flight Digital Electronics. http://klabs.org/DEI/References/design_guidelines/nasa_guidelines/hazards/hazards.htm and http://klabs.org/DEI/References/design_guidelines/nasa_guidelines/index.htm • Timing Analysis of Asynchronous Signals http://klabs.org/richcontent/General_Application_Notes/parts/removal_time.htm

More Related