1 / 20

DMP: Deterministic Shared Memory Multiprocessing

ECE 259 / CPS 221 Advanced Computer Architecture II. DMP: Deterministic Shared Memory Multiprocessing. Joseph Devietti et al. Presenter : Tae Jun Ham 2012. 3. 19. Abstract. Most current shared memory multicore and multiprocessor systems are nondeterministic .

allan
Download Presentation

DMP: Deterministic Shared Memory Multiprocessing

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ECE 259 / CPS 221 Advanced Computer Architecture II DMP: Deterministic Shared Memory Multiprocessing Joseph Devietti et al. Presenter : Tae Jun Ham 2012. 3. 19

  2. Abstract • Most current shared memory multicore and multiprocessor systems are nondeterministic. • Non-determinism makes debugging and testing hard. • Previous approaches were based on replay • But replay is only useful for debugging • Based on deterministic inter-thread communication, this paper suggests several ways to achieve deterministic shared memory multiprocessing

  3. Determinism • What is Deterministic Parallel Execution? • Executes multiple threads that communicate via shared memory • Should produce the same output if given the same program input • What causes Non-determinism? • Software sources : concurrent threads, the state of memory pages, power saving mode, disk and I/O buffer, and some OS system calls. • Hardware sources : state of caches, predictor tables and bus priority controller, and bus arbiters. In other words, almost all microarchitectural structures.

  4. Non-determinism

  5. Non-determinism

  6. DMP-Serial (Fine-Grained)

  7. DMP-Serial (QBcount)

  8. DMP-Serial (Coarse-Grained)

  9. DMP-ShTab • Communication-Free Region: Parallel • Communication : Serial • Rules • Without token: Read for shared address Write for own address • With token: Can do everything

  10. DMP-ShTab

  11. DMP-ShTab

  12. DMP-TM & DMP-TM-Fwd

  13. DMP-TM & DMP-TM-Fwd

  14. DMP-TM & DMP-TM-Fwd

  15. QB-SyncFollow & QB-Sharing QB SyncFollow : After unlock, pass the token QB Sharing : After finishing works on shared data, pass the token

  16. Evaluation - Performance Serial : Linear slowdown with the increasing number of threads ShTab : 38% TM-Fwd : 21%

  17. Evaluation - Quanta size sensitivity In general, larger quanta is slower. Serial case is less sensitive to quanta size.

  18. Evaluation - Heuristics on quanta size Effective for ShTab. SyncFollow benefits for some workloads.

  19. Evaluation - Sw-DMP Author says : In summary, this data shows that Sw-DMP-ShTab does not unduly limit performance scalability for multithreaded applications.

  20. Discussions • Can this system deployed? • Too much performance overhead • Implementation Complexity • Which one do you prefer? DMP vs Deterministic replay • Possible power saving with DVFS?

More Related