1 / 11

More On Net Weighting

More On Net Weighting. Sensitivity Guided Net Weighting for Placement Driven Synthesis. Haoxing Ren ECE Department, UT Austin. David Z. Pan ECE Department University of Texas at Austin. David S. Kung IBM T.J. Watson Research Center. New Terms.

wesley
Download Presentation

More On Net Weighting

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. More On Net Weighting Sensitivity Guided Net Weighting forPlacement Driven Synthesis Haoxing Ren ECE Department, UT Austin David Z. Pan ECE Department University of Texas at Austin David S. Kung IBM T.J. Watson Research Center

  2. New Terms • The slack of a net is the slack at its source pin • Figure of merit (FOM) is more general case for TNS

  3. Decompose Slack Sensitivity • The slack sensitivity to net weight is defined as: • Higher net weight for net i will ideally result in shorter wire length L(i), so we can decompose this equation into • net delay sensitivity to wire length • the wire length sensitivity to net weight • the delay sensitivity to its wire length for net i change as follows:

  4. Relationship of weight and wire length • Wsrc(i) is the total initial weight on the driver cell of net i • Wsink(i) is the total initial weight on the receiver cell of net i. • Substitute back to previous definition: • if the initial wire length L(i) is longer, it expects to see bigger wire length change. • if the initial net weight W(i) is bigger, it expects to see a smaller wire length change.

  5. FOM Sensitivity to Net Weight • The FOM sensitivity is defined as: • Define FOM sensitivity to net delay as: • The definition of FOM sensitivity to weight can be written as: • Need an algorithm to calculate sensitivity to net delay efficiently

  6. Calculation of FOM Sensitivity to Net Delay • THEOREM 1. FOM sensitivity to net delay of a two-pin net i is equal to the negative of the number of critical timing end points whose slacks are influenced by net I • Proof: • THEOREM 2. The FOM sensitivity of the sink j delay of net I can be computed by the following equation:

  7. Algorithm To Find Influenced Timing Critical End Points

  8. A quick example (-3,-2) Pi (-2,1) b c (-3,1) (-3,1) (-3,-2) (-3,1) Po1 B A (-2,1) (-1,0) (-2,1) C Po2 (-1,0) D • Two paths from a timing begin point Pi to timing end points Po1 and Po2 • The K values for Po1 and Po2 are both 1 • The upper pin is the most timing critical pin to gate C, and will influence the slack of Po2 • The lower pin of C does not influence Po2, meaning that even if the wire length of net n4 is shortened, it will not improve the FOM • Complexity is O(n) Since each gate and net will be traversed only once

  9. SENSITIVITY GUIDED NET WEIGHT ASSIGNMENT • Objective function : • Constraints : • n1,...,nk are critical nets • C is a constant to bound the total weight change. • The multiplier for ΔSlk(i) is its relative slack to the slack target Slkt • The constant α on each ΔFOM is the same • The quadratic sum constraint of ΔW(i) helps to produce smooth distribution

  10. Solve The Objective Function • Replacing with sensitivity and weight : • Using Lagrange multiplier : • Taking partial derivatives to get solution

  11. Solution Of New Weight • The solution of weight change is : where •  is a constant for all nets, which absorbs the effect of C • α balances the weighting of critical slack and FOM. • The new weight of net is:

More Related