1 / 21

* Work supported by Semiconductor Research Corporation

REACTION MECHANISM AND PROFILE EVOLUTION FOR CLEANING AND SEALING POROUS LOW- k DIELECTRICS USING He/H 2 AND Ar/NH 3 PLASMAS Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 jshoeb@eecs.umich.edu

quang
Download Presentation

* Work supported by Semiconductor Research Corporation

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. REACTION MECHANISM AND PROFILE EVOLUTION FOR CLEANING AND SEALING POROUS LOW-k DIELECTRICS USING He/H2 AND Ar/NH3 PLASMAS Juline Shoeba) and Mark J. Kushnerb) a) Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 jshoeb@eecs.umich.edu b) Department of Electrical Engineering and Computer Science University of Michigan Ann Arbor, Ann Arbor, MI 48109 mjkush@umich.edu http://uigelz.eecs.umich.edu October 2010 *Work supported by Semiconductor Research Corporation AVS10_01

  2. University of Michigan Institute for Plasma Science & Engr. AGENDA • Sealing of Low-k Dielectrics • Modeling Platforms • Generation of Hot H • Polymer Removal and PR Stripping In He/H2 Mixtures • Sealing Mechanism Using Ar/NH3 Plasma Treatment • Sealing Efficiency • Pore Radius and Aspect Ratio • Pulsing Effect On Etch Rate AVS10_02

  3. University of Michigan Institute for Plasma Science & Engr. POROUS LOW-k DIELECTRICS • The capacitance of the insulator contributes to RC delays in interconnect wiring. • Low-k porous oxides, such as C doped SiO2 (CHn lining pores) reduce the RC delay. • Porosity  0.5, Interconnectivity  0.5. • Inter-connected pores open to plasma may degrade k-value by reactions with plasma species. • Desire to seal pores to prevent diffusion into porous network. Ref: http://www.necel.com/process/en/images/porous_low-k_e.gif AVS10_03

  4. University of Michigan Institute for Plasma Science & Engr. LOW-kPROCESS INTEGRATION • Typical porous SiO2 has CH3 lineing pores with Si-C bonding – referred to as SiOCH. • Ave pore radius: 0.8-1.1 nm • Porosity: up to 50% • Etching and sealing SiOCH is an integrated, multistep process • Etch Ar/C4F8/O2 CCP • Clean Ar/O2 or He/H2 ICP • Activate He/H2 ICP • Seal Ar/NH3 ICP Mask Porous Low-k SiCOH Si AVS10_04

  5. University of Michigan Institute for Plasma Science & Engr. PORE SEALING PROCESS INTEGRATION • Step 1: Ar/C4F8/O2 CCP Etch trench leaving PR mask and CFn polymer • Step 2: Ar/O2 ICP Remove PR and CFn polymer with O radicals • O atoms diffuse into pore network to etch CH3 groups. • Degrades low-k material. AVS10_05

  6. University of Michigan Institute for Plasma Science & Engr. PORE PLASMASEALING MECHANISM • Step 3: He ICP Activate surface by sputtering and photo-detachment to create dangling bonds. • Step 4: Ar/NH3 ICP Seal pores with NHn radicals by forming C-N and Si-N bonds which bridges opening. AVS10_06

  7. University of Michigan Institute for Plasma Science & Engr. He/H2 CLEAN-ACTIVATE • Highly motivated to eliminate Ar/O2 step as degradation of SiOCH occurs. • Possible alternative is He/H2 ICP plasma cleaning. • Hot H atoms (> 1 eV) are produced by dissociative excitation and charge exchange. • H* remove PR and CFn while activating surface sites. • Low mass of H reduces likelihood for sputter of CHn. • Must optimize H* production AVS10_07

  8. University of Michigan Institute for Plasma Science & Engr. MODELING : LOW-k PORE SEALING He/H2 PLASMA Ar/NH3 PLASMAS Coils Energy and angular distributions for ions and neutrals Plasma Metal Porous Low-k Substrate Wafer • Plasma Chemistry Monte Carlo Module (PCMCM) • Hybrid Plasma Equipment Model (HPEM) • Monte Carlo Feature Profile Model (MCFPM) AVS10_08

  9. University of Michigan Institute for Plasma Science & Engr. MONTE CARLO FEATURE PROFILE MODEL (MCFPM) • The MCFPM resolves the surface topology on a 2D Cartesian mesh to predict etch profiles. • Each cell in the mesh has a material identity. (Cells are 4 x 4 A ). • Gas phase species are represented by Monte Carlo pseuodoparticles. • Pseuodoparticles are launched towards the wafer with energies and angles sampled from the distributions obtained from the PCMCM. • Cells identities changed, removed, added for reactions, etching, and deposition. HPEM PCMCM Energy and angular distributions for ions and neutrals MCFPM Provides etch rate And predicts etch profile AVS10_09

  10. University of Michigan Institute for Plasma Science & Engr. TYPICAL PLASMA PROPERTIES: H2/He ICP • Total ion density (cm-3): 1.5 x 1011 • Neutral densities(cm-3): H9 x 1012 H2 7 x 1013 H2(v=1,5) 1.5 x 1012 • Major fluxes to the substrate (cm-2 s-1): H6 x 1017 H23 x 1018 H2(v=1,3)6 x 1016 H+2 x 1015 • Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP AVS10_10

  11. University of Michigan Institute for Plasma Science & Engr. Ar/C4F8/O2 CCP TRENCH ETCH Photo-Resist • CCP for trench etch. • Ar/C4F8/O2 = 80/15/5 • 40 mTorr, 300 sccm • 10 MHz • 5 kW • CFx polymer deposited on the side-walls efficiently seal the open pores. CFx polymers are harmful to diffusion barrier metals such as Ti and Ta. • Polymer layers can be removed by: • He/H2 plasmas without surface damage. • O2 plasmas that etch the CH3 groups. Porous Low-k SiCOH Si AVS10_11 Animation Slide-GIF

  12. University of Michigan Institute for Plasma Science & Engr. HOT H GENERATION: He/H2 ICP • Vibrational Excitation e + H2(v=0) H2(v=1)+ e e + H2(v=n) H2(v=n+1)+ e • Hot H Generation e + H2(v=n) H** + H** + e • Charge Exchange Reactions H2(v=n) + H2+H2(v=n)** + H2+ H2(v=n) + H2+H** + H3+ H + H2+H2(v=0)** + H+ H2(v=n) + H+H** + H2+ H + H+H** + H+ • Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP **Translationally hot AVS10_12

  13. University of Michigan Institute for Plasma Science & Engr. POLYMER REMOVAL AND PR STRIPPING PR • He/H2 plasma used for both polymer (P) removal and photoresist (PR) stripping. • Hot H, H2, H+ and H2+ remove polymer and masking PR layers as CH4, HF, and CxHyFz H**+ P(s)  CF + HF H**+ P(s)  CHF2 H2**+ P(s)  CH2F2 H**+ PR(s)  CH4 H2** + PR(s)  CH4. • CHn groups are also activated by H removal H**+ CHn(s)  CHn-1 + H2. Porous Low-k SiCOH Si Animation Slide-GIF **Translationally hot AVS10_13

  14. University of Michigan Institute for Plasma Science & Engr. POLYMER REMOVAL, CH3 DEPLETION • Ar/O2 plasma efficiently removes polymer. • Also removes CH3 groups in pores as O atoms diffuse into the porous network. • Net result is increase in pore size. • Pore openings can get too large to easily seal. • He/H2 plasma removes polymer without significantly depleting CH3. Low-k SiCOH Si AVS10_14

  15. University of Michigan Institute for Plasma Science & Engr. SEALING MECHANISM IN Ar/NH3 PLASMA • N/NHx species are adsorbed by activated sites forming Si-N and C-N bonds to seal pores. • Further Bond Breaking M+ + SiO2(s)  SiO(s) + O(s) + M M++ SiO(s)  Si(s) + O(s) + M • N/NHx Adsorption NHx + SiOn(s)  SiOnNHx(s) NHx + Si(s)  SiNHx(s) • NHx + CHn-1 (s)  CHn-1NHx(s) • NHx + P*(s)  P(s) + NHx(s) • SiNHx-NHy/CNHx-NHy compounds seal the pores where end N are bonded to Si or C by C-N/Si-N NHy + SiNHx(s)  SiNHx-NHy(s) NHy + CHn-1NHx(s)  CHn-1NHx-NHy(s) AVS10_15

  16. University of Michigan Institute for Plasma Science & Engr. PORE-SEALING BY SUCCESSIVE He/H2 AND NH3/Ar TREATMENT • Surface pore sites are activated by 610s He/H2 plasma treatment. • Ar/NH3 plasma treatment seals the pores by forming bridging Si-N, N-N and Si-N bonds. • Initial Surface Pores • He/H2 Plasma Site Activation • Ar/NH3 Plasma Pore Sealing Animation Slide-GIF AVS10_16

  17. University of Michigan Institute for Plasma Science & Engr. SEALING: WITH POLYMER REMOVAL AND PR STRIP He/H2 Activation Sealing • Ar/O2 Clean: additional He treatment is required for surface activation, followed by NH3 plasma sealing. • He/H2 Clean: Performs both activation and cleaning in a single step. Can seal with NH3 just after the clean. He/H2 Activation Sealing Si Si Animation Slide-GIF AVS10_17

  18. SEALING EFFICIENCY: PORE RADIUS University of Michigan Institute for Plasma Science & Engr. • Ar/O2 Clean: Sealing efficiency decreases with increasing pore size. • H2/He Clean: Sealing is less sensitive to pore radius. Ar/O2 Clean He/H2 Clean Good Sealing Poor Sealing Animation Slide-GIF AVS10_18

  19. University of Michigan Institute for Plasma Science & Engr. SEALING EFFICIENCY: ASPECT RATIO • O2 Clean: sealing efficiency on sidewalls decreases with increasing aspect ratio. • He/H2 Clean: sealing does not degrade with higher aspect ratio. • Hot H activates all of the surface sites due to its broad angular distribution. AVS10_19

  20. PULSING EFFECT ON PR REMOVAL: He/H2 ICP • Duty cycle reduction increases ion to neutral flux ratios. • A low duty cycle can increase PR removal rate. PR SiCOH • Conditions: H2/He = 25/75, 10mTorr, 300 W ICP AVS10_20

  21. University of Michigan Institute for Plasma Science & Engr. CONCLUDING REMARKS • Integrated porous low-k material sealing was investigated • Ar/C4F8/O2 Etch • H2/He Clean, PR Strip, and Surface Activation • Ar/NH3 Sealing • He/H2 plasmas clean polymer, strips off PR and activates surface sites in a single step. Higher activation and lower damage seal the surface better. • Pulsing can enhance the PR removal rate. • Si-N and C-N bonds formed by adsorption on active sites followed by one N-N bond linking C or Si atoms from opposite pore walls. • For Ar/O2 clean, sealing efficiency degrades when pore radius is >1 nm and aspect ratio >10. He/H2 clean enables sealing of larger pores and higher aspect ratio trenches. AVS10_21

More Related