1 / 25

Distribution & Services Solutions

Distribution & Services Solutions. Equipment Distribution. Website: www.nikonprecision.com Sales Contact: Anthony Lau lau.anthony@ellipsiz.com. Industries: Semiconductor.

piper
Download Presentation

Distribution & Services Solutions

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Distribution & Services Solutions

  2. Equipment Distribution Website: www.nikonprecision.com Sales Contact: Anthony Lau lau.anthony@ellipsiz.com Industries: Semiconductor Semiconductors (ICs, etc.) and liquid crystal displays (LCDs) are key to IT equipment such as home appliances, mobile phones, PCs, etc., that today we cannot live without. Nikon Precision Equipment Company is the major global provider of steppers/scanners, the core equipment for manufacturing the ICs and LCDs that bolster our lives and the information society as a whole.

  3. Equipment Distribution Jordan Valley Semiconductors (JVS), the leader in X-ray and VUV metrology solutions for the semiconductor industry, develops, manufactures and sells fully automated metrology tools for advanced technology nodes based on non-contacting and non-destructive tools. The company offers the semiconductor industry the most comprehensive portfolio of advanced metrology tools, based on technologies such as XRR, XRF, WAXRD, SAXS, HRXRD and VUV. Website: www.jvsemi.com Sales Contact: Lee Choon Liang lee.cl@ellipsiz.com Industries: Electronics; LED JVX 7200 JVX 6200/JVX6200i D1 QC-3

  4. Falcon 500 line of advanced optical inspection systems handles wafers before or after electrical / functional test. Designed to ensure known-good die, the Falcon 500 consistently detects visible defects that may impact die integrity or interconnect reliability. This high-throughout system is engineered to support in-line 100% inspection at production rates. Dedicated algorithms analyze probe marks to determine their size, proximity to pad edge and number of touch-downs. When equipped with Camtek’s Confocal Chromatic Height Sensor (CCS), the Falcon 500 can even plot sampled probe mark profiles. Falcon 500PD line of automatic framed wafer inspection systems delivers superb detection of surface and probe mark defects, bump size and placement deviations, as well as dicing-related defects. Falcon 600 is a versatile and flexible inspection platform designed to handle unframed and frame-mounted wafers, Falcon 600 models deliver the superb detection ability, high throughput and ease of operation the Falcon family is known for. GANNET is a new AOI system designed to provide solutions to a variety of processes in the front-end market, offering a competitive advantage to customers. Designed to address the global trend for multiple resolution inspection at a low cost of ownership, the GANNET system provides high sensitivity defect detection and rapid setup combined with high throughput capabilities. Falcon 5LED joins the industry leading Falcon 500 Series of macro defect wafer inspection systems, handling wafers before or after functional testing. Designed to manage the most critical issues of LED applications inspection, this state-of-the-art system includes advanced software alignment functionality. Falcon 800 line of advanced measurement and metrology systems for inspecting bumped wafers and ensuring that bumps meet their tight dimensional tolerances. Equipment Distribution Website: www.camtek.co.il Sales Contact: Lee Choon Liang lee.cl@ellipsiz.com Industries: Electronics; LED

  5. Equipment Distribution Website: www.quorumtechnologies.com Sales Contact: Lee Choon Liang lee.cl@ellipsiz.com Industries: Electronics; R&D, Labs and Education Quorum Technologies was founded in 2001, having acquired the long-established Polaron brand and its range of electron microscopy (EM) products. Since then we have been proactive in responding to industry requests and requirements for new instruments and techniques, enabling us to develop over the years into a market-leading, globally recognised business. In 2005 we acquired the Emitech brand, extending our exciting range and growing the business further. We continue to manufacture Emitech and Polaron instruments - now under the Quorum Technologies brand - at our two premises in the South East of England, producing cryo-SEM and cryo transfer systems, and EM (SEM and TEM) preparation instruments. Bench-Top Vacuum Evaporators RF Plasma Etchers/Plasma Reactors Recirculating Heaters and Chillers Peltier Cooling Stages for SEM Freeze Dryers for Sample Preparation Rotary Vacuum, Scroll and Diaphragm Pumps Cryo-SEM Preparation Systems Sputter Coaters and SEM/TEM Carbon Coaters Sputter Targets Carbon Consumables Glow Discharge Systems Critical Point Dryers Products:

  6. Quality and Reliability Test Sales Contact: Lee Choon Liang lee.cl@ellipsiz.com Qualification and Reliability Test Services: We provide advance failure analysis and reliability test services via wholly-owned subsidiary, Ellipsiz Testlab Pte Ltd. Ellipsiz Testlab is an ISO/IEC17025 certified laboratory accredited by Singapore Accreditation Council (SAC-SINGLAS) for our technical competency and effective process management of our laboratory and our staff. Our sophisticated facility, which is capable of performing tests that meet international standards, counts a broad spectrum of customers and offers the following services. 1) Failure Analysis 2) Product Reliability 3) Device Reliability 4) Test Structure (Design, Verification and Test) 5) Technology Qualification Support for Semiconductor 6) Semiconductor Reliability Training and Consultation 7) Thermal and Humidity Measurement Service 8) Semiconductor Packaging 9) ESD Test & Qualification

  7. Quality and Reliability Test Website: www.ma-tek.com Sales Contact: Lee Choon Liang lee.cl@ellipsiz.com MA-tek is the worldwide leading analytical lab in Materials AnalysisFounded in 2002, Materials Analysis Technology Inc. (MA-tek) is a world leading laboratory in materials analysis (MA). Accompanying with the fast growing pace of business development, MA-tek has successfully expanded to provide Failure Analysis (FA) and Reliability Testing (RT) services as well, which is superior integrated service for customers In various industries. Up to now, MA-tek has set up 5 laboratories and 1 sales office worldwide, providing around-the-clock services in logistic support and technical services.

  8. Equipment Distribution Website: www.mrlind.com Contact: Susan Lim lim.susan@ellipsiz.com * Data is correct as of Oct 2011

  9. Equipment Distribution Website: www.alliedhightech.com Sales Contact: Susan Lim lim.susan@ellipsiz.com Industries: Material Science/Semiconductor; R&D, Labs and Education Allied High Tech Products Manufacture of equipment & consumables for Metallographic Sample Preparation & Analysis. Mounting Press Sectioning Machine Grinding Machine M-Prep 3 Grinding/Polishing System TwinPrep 3 Grinding/Polishing System X-Mill MillingSystem

  10. P200A/300A Semiautomatic P300J Motorized 8060 High Performance Manual 4060 General Purpose Manual Economical Manual Equipment Distribution Website: www.micromanipulator.com Sales Contact: Wong Khong Meng wong.km@ellipsiz.com Industries: Semiconductor/Micro-Electronics Micromanipulator- Complete Analytical Probe Station • Complete Accessories Offering • Microscopes • Manipulators • Probe Holders and Probe tips • Probe Card Holders • Hot Chucks • Complete Application Coverage • Failure Analysis • Wafer Level Reliability (WLR) • Device Characterization • Process Monitoring • Low Current • Parametric Testing • High Voltage/Current • RF and High Frequency • Optical Devices 450PM

  11. Equipment Distribution Website: www.malema.com Sales Contact: Pang Ling Choy pang.lc@ellipsiz.com Industries: Semiconductors; Medical and Pharmaceutical Founded in 1981, Malema designs and manufactures measurement and control instruments for abrasive slurries, surfactants and high purity and corrosive chemicals. Malema Flow Sensors products provide improved process control with new leading edge technologies for measurement. Experienced in sensors, electronics, and process instrumentation, Malema incorporates ground breaking state of the art technologies into every instrument. Utilizing proven measurement techniques, clean room product assembly, and class 100 work surfaces, our products offer unmatched quality and reliability. Malema has succeeded in making Peristaltic pumps obsolete from Semiconductor Supply of Slurries to Polishing processes by supporting and succeeding in delivering a solution to a premier OEM in this space.

  12. Principal partner for Wet Cleaning Station - SONGJAAN Parts Cleaner Fumehood Wafer Transfer System Equipment Distribution Website: www.sjtc.com.tw Sales Contact: Elton Tan tan.elton@ellipsiz.com Industries: Semiconductor, Electronics; LED; Solar Lab Chemical Plating Tool Chemical Delivery System PlatingSystem Wafer Surface Preparation System

  13. Facility Cleanroom Metrology Equipment Clean-booth Metrology Equipment Mini-Environment Customised Engineering Solutions Sales Contact: Pang Ling Choy pang.lc@ellipsiz.com Micro-Contamination Control Clean-booth / Mini-Environment Design and fabricate Cleanroom booth / Mini-Environment for facility & equipment application. Industries: Semiconductor; R&D, Labs and Education

  14. Partner Engineering Solutions Website: http://www.brooks.com/alignment-calibration.cfm Sales Contact: Elton Tan tan.elton@ellipsiz.com Industries: Semiconductors MicroTool increases silicon yield and equipment uptime by improving critical wafer handling operations. Robotics Alignment Tool Dummy Wafers Load Port Tool Reticle Cassettes Electronic Latch Key Level Film Frame Shipper Series Cassette Adapters Kinematic Coupler Pins Cassette Checkers Bubble Level Series Manual Openers

  15. Design to Manufacturing Capability Software Control Capability Robot modification Capability Customised Engineering Solutions Website: www.geservs.com Sales Contact: Sim Chin Tiong sim.ct@ellipsiz.com Industries: Semiconductors; Electronics GES is a turnkey outsource engineering solution provider with expertise in design, build and installation of high precision, automated substrate handling module to full software controlled electromechanical system integration

  16. Customised Engineering Solutions Website: www.geservs.com Sales Contact: Juan Hee Teng juan.ht@ellipsiz.com Industries: Renewable (solar) Energy GES renewable (solar) business has years of experience implementing renewable energy project range from 30KW to 20MW over multiple states in US. GES is a licensed distributor of SunWize Technology/Products for SE Asia market. San Jose International Airport (SJC) San Jose, California – 1 MW (Completed in 2010) Fresno Yosemite International Airport Fresno, California – 2MW (Completed in 2007) Southwest Florida International Airport Ft Myers, Florida - up to 20 MW (First phase is under construction)

  17. Customised Engineering Solutions Industries: Semiconductors Sales Contact: Elton Tan tan.elton@ellipsiz.com MOOG Products for SpeedFam, Auriga CMP & SCP Wet Benches Axus delivers new units, as well as repairs and upgrades for the Berke­ley Automation Modules (BAMS), As well and amplifiers used on the SpeedFam and Santa Clara Plastics process tools. Axus also provides replacement motors and motor up­grades

  18. Vacuum Solutions Sales Contact: Ang Yew Heng ang.yh@ellipsiz.com Industries: Semiconductor; R&D, Labs and Education QDP/iQDP Series - QDP80, QDP40/QMB250, QDP80/QMB250, QDP80/QMB500 iQDP40, iQDP80, iQDP40/QMB250, iQDP80/QMB250, iQDP80/QMB500 ,iQDP80/QMB1200 iL/iH Series – iL70, iL70N, iL600, iL600N, L70 iH80, iH160, iH600, iH1000, iH1800, iH1800HTX

  19. Consumables Chemicals Versatile developer to g line, i line, excimer laser, phase shift mask and electron beam lithography.  Excellent resolution power and mask-reticle linearity. Suitable for cleaning and drying in LSI and LCDprocess. High resistivity. One-step cleaning solution for metal impurities and particles. Post Cu-CMP cleaning solution. Post Cu/low-k CMP cleaning. As additive for improvement of wettability in various solution. As a cleanser for wafer cleaning process. Sales Contact: Edwin Lim lim.edwin@ellipsiz.com

  20. Consumables – Metallographic Sample Preparation & Analysis Website: www.alliedhightech.com Sales Contact: Susan Lim lim.susan@ellipsiz.com Industries: Material Science/Semiconductor; R&D, Labs and Education

  21. Consumables – Metallographic Sample Preparation & Analysis Website: www.alliedhightech.com Sales Contact: Susan Lim lim.susan@ellipsiz.com Industries: Material Science/Semiconductor; R&D, Labs and Education

  22. Consumables - Cleanroom

  23. Consumables - Orthopedic

  24. Consumables – Kimberly-Clark

  25. Consumables – Customized Stainless Steel Products

More Related