1 / 24

Non-tree Routing for Reliability & Yield Improvement

Non-tree Routing for Reliability & Yield Improvement. A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD. Work supported by Cadence, MARCO GSRC, and NSF. Outline. Motivation for non-tree routing Problem formulation Exact solution by integer programming Greedy heuristic

kamal
Download Presentation

Non-tree Routing for Reliability & Yield Improvement

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Non-tree Routing for Reliability & Yield Improvement A.B. Kahng – UCSD B. Liu – Incentia I.I. Mandoiu – UCSD Work supported by Cadence, MARCO GSRC, and NSF

  2. Outline • Motivation for non-tree routing • Problem formulation • Exact solution by integer programming • Greedy heuristic • Experimental results

  3. Motivation for Redundant Interconnect • Manufacturing defects increasingly difficult to control in nanometer processes • Cannot expect continued decreases in defect density • Defects occur at • Front end of the line (FEOL), i.e., devices • Back end of the line (BEOL), i.e. interconnect and vias • In nanometer processes BEOL defects are increasingly dominant • Aluminum interconnects etched  defect modality = short faults • Copper interconnects deposited  defect modality = open faults

  4. Open faults = missing material (or extra oxide where via should be formed) • Short faults= Extra material (or missing oxide) Catastrophic Interconnect Faults

  5. shorts opens opens shorts Defect size (Source: de Gyvez, SLIP01) Opens vs. Shorts - Probability of Failure • Open faults are significantly more likely to occur

  6. Shorts Opens (Source: de Gyvez, SLIP01) Opens vs. Shorts - Critical Area (CA) Open fault CA larger than short fault CA

  7. Reliability Improvement Approaches • Reduction of short critical area • Conservative design rules • Decompaction • Effective in practice! • Reduction of open critical area • Wider wires • Non-tree interconnect • How effective? What are the tradeoffs involved? • Related work • [McCoy-Robins 1995, Xue-Kuh 1995] non-tree interconnect for delay and skew reduction • 2-Edge-Connectivity Augmentation (E2AUG)

  8. Our Contributions • Post-processing approach to non-tree routing for reliability improvement • One net at a time • Easy to integrate in current flows • Most appropriate for large non-critical nets • Compact integer program, practical up to 100 terminals • Faster, near-optimal greedy heuristic • Experimental study including comparison with best E2AUG heuristics and SPICE verification of delay and process variability

  9. Problem Formulation Manhattan Routed Tree Augmentation (MRTA) Problem Given: • Tree T routed in the Manhattan plane • Feasible routing region FRR • Wirelength increase budget W Find: • Augmenting paths A within FRR Such that: • Total length of augmenting paths is less than W • Total length of biconnected edges in TA is maximum • Wirelength increase budget used to balance open CA decrease with short CA increase

  10. Paths parallel to tree edges (A) (B) Paths connecting tree nodes (including corners) (C) Paths connecting tree nodes or “projections” of tree nodes onto adjacent tree edges (B) Given routed tree (D) Arbitrary paths on the Hanan grid defined by tree nodes and FRR corners (D) Augmenting paths (C) Allowed Augmenting Paths

  11. Re-embedding along Hanan grid does not decrease biconnectivity Sliding in at least one direction is not decreasing biconnectivity Hanan Grid Theorem Theorem: MRTA has an optimum solution on the Hanan grid defined by tree nodes and FRR corners.

  12. Re-embedding along Hanan grid does not decrease biconnectivity Hanan Grid Theorem Theorem: MRTA has an optimum solution on the Hanan grid defined by tree nodes and FRR corners. Sliding in at least one direction is not decreasing biconnectivity

  13. MRTA vs. 2-Edge-Connectivity Augmentation 2-Edge-Connectivity Augmentation (E2AUG) Problem Given weighted graph G=(V,E) and spanning tree T, find minimum weight A  E s.t. TA is 2-edge-connected, i.e., cannot be disconnected by removal of a single edge • E2AUG can be solved by performing binary search on WL increase budget of MRTA  MRTA is NP-hard • Differences between MRTA and E2AUG • WL increase budget • Geometric context (Manhattan plane with obstacles) • “Partial” parallel edges • Steiner points (paths of type C and D)

  14. Integer Linear Program (type A-C paths) Total biconnected length Subject to: Wirelength budget e biconnected if p connecting Tu & Tv {e|xe=1} gives augmenting paths {e|ye=1} gives biconnected tree edges • P = set of -- at most O(n2) -- augmenting paths • WL budget is fully utilized by (implicit) parallel paths

  15. Integer Linear Program (type D paths) Subject to • H = Hanan grid defined tree nodes and FRR corners • Exponentially many cut constraints • Fractional relaxation can still be solved using the ellipsoid algorithm

  16. Greedy MRTA Algorithm Input : Routed tree T, wirelength budget W, feasible routing region, set V of allowed augmenting path endpoints Output: Augmented routing T  A, with l(A) ≤ W 1. A = {}; mark all edges of T as bridges 2. Compute augmenting path lengths between every u,v  V by |V| Dijkstra calls 3. Compute length of bridges on tree path between every u,v V by |V| DFS calls 4. Find path p with l(p) ≤ W and max ratio between length of bridges on the tree path between ends of p and l(p) 5. If ratio  1 then • Add p to A • Mark all edges on the tree path between ends of p as biconnected • Update V and compute lengths for newly allowed paths (C type augmentation) • Go to step 3 6. Else exit Runtime = O(N*D + K*N2), reduced to O(K*N2) w/o obstacles where N = #allowed endpoints, K = #added paths, D = Dijkstra runtime

  17. Experimental Setup • Compared algorithms • Greedy • Integer program solved with CPLEX 7.0 • Best-drop E2AUG heuristic [Khuller-Raghavachari-Zhu 99] • Uses min-weight branching to select best path to add and multiple restarts • Modified to observe WL budget • Recent E2AUG genetic algorithm [Raidl-Ljubic 2002] • Features compact edge-set representation + stochastic local improvement for solution space reduction • Test cases • WL increase budget = 1%, 2%, 5%, 10%, 20%, no limit • Net size between 5 and 1000 terminals • Random nets routed using BOI heuristic • Min-area and timing driven nets extracted from real designs • No routing obstacles

  18. Extra wirelength (%) and runtime (sec.) for Unlimited WL Increase Budget • CPLEX finds optimum (least) wirelength increase with practical runtime for up to 100 sinks • Greedy always within 3.5% of optimum; runtime practical for up to 1000 sinks

  19. Biconnectivity (%) and runtime (sec.) for 10% WL Increase • Augmenting paths of type C (allowing node projections as augmenting path endpoints) give extra 1-5% biconnectivity • Biconnectivity grows with net size • Greedy within 1-2% of optimum (max) biconnectivity computed by CPLEX

  20. Biconnectivity-Wirelength Tradeoff for Type C Augmentation, 20-terminals

  21. SPICE Max-Delay (ns) Improvement • 52-56 terminal nets, routed for min-area • 28.26% average and 62.15% maximum improvement in max-delay for 20% WL increase • Smaller improvements for timing driven initial routings

  22. Process Variability Robustness • Width w=w0, w0±6.67% • Delay variation computed as (maxw d(w) – minw d(w)) / d(w0) • 13.79% average and 28.86% maximum reduction in delay variation for 20% WL increase

  23. Summary • Post-processing tree augmentation approach to reliability and manufacturing yield improvement • Results show significant biconnectivity increase with small increase in wirelength, especially for large nets • Future work includes • Multiple net augmentation • Simultaneous non-tree augmentation & decompaction • Consideration of defect-size distribution • Reliability with timing constraints

  24. Thank You!

More Related