1 / 39

Quartus II  使用法  (ver 9 sp2, 一部 ver. 5)

Quartus II  使用法  (ver 9 sp2, 一部 ver. 5). SPP 2009.8.24 高知大学  高知大学理学部 本田理恵、三好康夫 参考  JFIT 資料 練習⑤ 操作手順例 QuartusⅡ で回路設計. 手順. HDL ファイルの編集 プロジェクトの作成 コンパイル準備 3.1 ハードウェアセットアップ 3.2 (仮)コンパイル 3.3 ピンアサインメント 再コンパイル シミュレーション 5.1. 入力ファイルの作成 5.2. シミュレーション プログラミング( FPGA への書き込み) 実機確認. 起動.

ianthe
Download Presentation

Quartus II  使用法  (ver 9 sp2, 一部 ver. 5)

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. QuartusII 使用法 (ver 9 sp2, 一部ver. 5) SPP 2009.8.24 高知大学  高知大学理学部 本田理恵、三好康夫 参考 JFIT資料 練習⑤ 操作手順例QuartusⅡで回路設計

  2. 手順 • HDLファイルの編集 • プロジェクトの作成 • コンパイル準備 3.1 ハードウェアセットアップ 3.2 (仮)コンパイル 3.3 ピンアサインメント • 再コンパイル • シミュレーション 5.1. 入力ファイルの作成 5.2. シミュレーション • プログラミング(FPGAへの書き込み) • 実機確認

  3. 起動 デスクトップのアイコン    をダブルクリックしてQuartusIIを起動

  4. HDL編集 • <File>→<New>を選択 • Newで<Verilog HDL>を選択してOKをクリック ① ② ③

  5. HDL編集 • 右側の編集画面にHDLを記入する // LEDB module LEDB(SW1,LED1,LED2); input SW1; output LED1,LED2; assign LED1=~SW1; assign LED2=SW1; endmodule モジュール名

  6. ファイルの保存1 • <File>→<Save As>を選択 • ファイルを保存するディレクトリを作成して、”開く”をクリック • 注意:ディレクトリ名とモジュール名は同じにする。 ① ② ③  ④ 

  7. ファイルの保存2 • ファイル名を入力して、“保存”をクリックし、“はい“をクリック 注意 ファイル名もモジュール名と同じにする ③ ② ①

  8. プロジェクトの作成1 <Next>をクリック

  9. プロジェクトの作成 1,2,3がモジュール名と同じになっていることを確認して<Next>をクリック ワークディレクトリ プロジェクト トップ階層デザイン ① ② ③ プロジェクト名とトップ階層デザイン 名は同じ方がわかりやすので そろえる。トップ階層デザイン名 とモジュール名は同じにしないと エラーがでる。 ④

  10. プロジェクトの作成2 必要なファイルが追加されていることを確認して<Next>をクリック ① ②

  11. プロジェクトの作成3* 12

  12. プロジェクトの作成4* 13

  13. プロジェクトの作成5 14

  14. コンパイル準備 《Assignments》→《Device》でデバイス設定ウィンドウを開

  15. コンパイル準備(デバイス指定) ①デバイスを指定し、②Device&Pin Optionを開きます ② ① 16

  16. コンパイル準備(デバイス指定) Configurationタブを開きます 17

  17. コンパイル準備(デバイス指定) Configurationタブでデバイスを設定 ② 注意 EPCS1に修正 ① 18

  18. コンパイル準備

  19. 仮コンパイル 《Processing》→《Compiler Tool》 スタートボタンを押し、コンパイルを実行する メッセージ: エラーは赤文字で表示される。 エラーメッセージをクリックするとHDLの該当箇所が示されるので,修正する

  20. ピンアサインメント

  21. ピンアサインメント 《Assignment》→《pins》 ピンアサイン表の通りにピン番号を設定しピンアサインを保存 この例では SW1  2番ピン LED1  47番ピン LED2 56番ピン 22

  22. 再コンパイル 《Processing》→《Compiler Tool》 コンパイルを実行する

  23. シミュレーション準備 ファイル新規作成 Vector Wave File を選択 24

  24. シミュレーション準備 信号名入力1 選択 25

  25. シミュレーション準備 信号名入力2 ①Pins:allとする ②Listを選択 ⑤設定完了後 クリックする 26

  26. シミュレーション準備 信号名入力3 OKをクリックする 27

  27. シミュレーション準備 入力パターン作成 28

  28. シミュレーション準備 入力パターン保存 ①ファイル保存を選択 LEDB LEDB 29

  29. シミュレーション実行 • ≪Processing≫→<Simulator Tool>を選択して、ファイルを指定 • Startを押してシミュレーション開始。完了後Reportをおして結果確認 LEDB.vmf ①Startを選択 ②シミュレーション完了後Report選択

  30. シミュレーション結果確認

  31. プログラミング 《Tools》→《Programmer》で゙プログラミングウィンドウを開きます。 (このボタンも同じ)

  32. プログラミングーハードウェアの選択ー プログラミングウィンドウの《Hardware Setup…》でハードウェアセットアップウィンドウを開き、 使用するハードウェア(ダウンロードケーブル)を選択

  33. プログラミングーハードウェアの選択ー デバイス プログラミングモード EPCSデバイス Active Serial Programming FLEX10Kデバイス Passive Serial Stratix,APEX,Cyclone,EPCデバイス JTAG プログラミングモードをActive Serial Programming に 設定 【補足】 使用するデバイスとプログラミングモード選択の組み合せ AS: 搭載されているROM(EPCS1)に書き込む。電源を落と しても、回路の内容を保持することができる) JTAG: FPGA(Cyclone EP1C6Q240C8)に直接書き込む。電源 を落とすと、回路の内容は消去される 36

  34. プログラミングファイルの設定 プログラミングウィンドウ左部にある各種ボタンでプログラミングファイルの指定操作を行う Active Serial Programming [Delete]・・・ファイルを選択してこのボタンをクリックすると、プログラミングファイルを削除できる [AddFile…]・・・プログラミングファイルを追加できる [ChangeFile…]・・・ファイルを選択してこのボタンをクリックすると、プログラミングファイルを変更できる

  35. プログラミングオプションの指定 Active Serial Programmingになっているはず [Program/Configure]・・・データの書き込み [Verify]・・・    デバイスとデータの比較 [Blank-Check]・・・デバイスが未書き込みかどうかのテェック [Examine]・・・  書き込み済みデバイスからデータを吸い上げる [Security Bit]・・・ オンにして書き込むことで、データを吸い上げられないようにする。 Verifyもできなくなる 38

  36. プログラミングの開始 [ Startボタンをクリックすると設定した内容でプログラミングをスタートします 注意: スタートする前にMU200-ECの電源を入れ、ダウンロードケーブルを接続しておく ByteBlaster使用時は、MU200-ECのJTAG_Bの1番ピンがケーブルの赤色ラインになる ように接続する。

  37. プロジェクトの終了と再起動 • 終了したり、別のプロジェクトに移動するとき • <File> →<Close Project>で現在のプロジェクトを終了 • 作成済みのプロジェクトを再編集するとき • <File> →<Open Project>で 作成済みのプロジェクトファイルを指定

  38. 補足ー論理合成された回路と規模の確認ー • Quartus IIでコンパイル終了後、右下のReportを押す使用したロジックエレメント(論理素子)数が表示される

  39. 補足ー回路図の確認ー • <Tools>→Netlist Viewer →Technology Map viewerを選択することによって、生成された回路図を確認できる。

More Related