1 / 81

第 2 章 EDA 工具软件的使用方法

第 2 章 EDA 工具软件的使用方法. • 本章概要: 本章以 Altera 公司的 QuartusⅡ 为主,介绍 EDA 工具软件的使用方法,作为 EDA 设计的基础。通过本章的学习,读者可初步采用 QuartusII 软件的原理图输入法,设计数字电路和系统,掌握用试验开发系统或开发板对设计电路进行硬件验证的方法。 • 知识要点: ( 1 ) EDA 技术的原理图输入设计法。 ( 2 )用原理图输入法实现多层次系统电路的设计。 ( 3 ) Quartus Ⅱ 的宏功能模块的使用方法。. 2.1 Quartus II 软件的安装.

felix
Download Presentation

第 2 章 EDA 工具软件的使用方法

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 第2章 EDA工具软件的使用方法 •本章概要:本章以Altera公司的QuartusⅡ为主,介绍EDA工具软件的使用方法,作为EDA设计的基础。通过本章的学习,读者可初步采用QuartusII软件的原理图输入法,设计数字电路和系统,掌握用试验开发系统或开发板对设计电路进行硬件验证的方法。 •知识要点: (1)EDA技术的原理图输入设计法。 (2)用原理图输入法实现多层次系统电路的设计。 (3)Quartus Ⅱ的宏功能模块的使用方法。

  2. 2.1Quartus II软件的安装 QuartusII 软件的安装,只要把QuartusII软件光盘放入计算机的光驱中,安装光盘将自动引导完成Quartus II的安装。软件安装结束之后,还必须在软件中指定Altera公司的授权文件(License.dat),才能正常使用Quartus II软件。 软件安装

  3. 在winxp中具体安装硬件驱动程序如下: 1. 打开控制面板 |添加硬件,点“下一步”; 2. 选择“是,我已经连接了此硬件”,按向导进行至下面的界面时,选择“添加新的硬件设备”,再“下一步”; 3. 选择“安装我手动从列表选择的硬件”,然后“下一步”; 4. 在打开的对话框中选择“声音、视频和游戏控制器”,再“下一步”; 5.选择“从磁盘安装”,在弹出的对话框中单击“浏览”浏览驱动程序所在的目录:QuartusII的安装目录\dirvers\win2000,选择“WIN2000.inf”,单击“确定”。

  4. 为了方便电路设计,设计者首先应当在计算机中建立自己的工程目录。例如,将自己的全部EDA设计文件放在d:\myeda文件夹中,而为图形编辑设计建立d:\myeda\mygdf文件夹,为VHDL文本编辑设计建立d:\myeda\myvhdl等。为了方便电路设计,设计者首先应当在计算机中建立自己的工程目录。例如,将自己的全部EDA设计文件放在d:\myeda文件夹中,而为图形编辑设计建立d:\myeda\mygdf文件夹,为VHDL文本编辑设计建立d:\myeda\myvhdl等。

  5. Quartus 主界面窗口 MAX+PLUS Ⅱ主界面窗口 2.2 Quartus II软件的主界面 QuartusII软件为适应广大MAX+PLUS Ⅱ软件应用工程人员的需要,提供了Quartus II和与MAX+PLUS Ⅱ兼容的两种主界面。

  6. 工程导航 设置 引脚锁定编辑器 时序收敛编辑 开始编译 分析综合 延时分析 开始仿真 编译报告 编程器 timing closure, 意思就是说布线后可能会有时序不满足的问题,那么就要解决这个问题,解决时序不满足的工作就叫timing closure。

  7. 2.3 Quartus II的图形编辑输入法 图形编辑输入法也称为原理图输入设计法。用Quartus II的原理图输入设计法进行数字系统设计时,不需要任何硬件描述语言知识,在具有数字逻辑电路基本知识的基础上,就能可使用Quartus II提供EDA平台,设计数字电路或系统。 为了方便电路设计,设计者首先应当在计算机中建立自己的工程目录(如d:\myeda)。将自己的全部EDA设计文件放在文件夹中。

  8. 注意 工程文件夹的名称不要使用汉字,最好也不要使用数字。 在Quartus II平台上,使用图形编辑输入法设计电路的操作流程包括编辑(设计输入)、编译、仿真和编程下载等基本过程。用Quartus II图形编辑方式生成的图形文件默认的扩展名为.bdf。

  9. Quartus Ⅱ原理图输入的基本操作 编辑原理图 引脚锁定 选择目标器件 再次编译 编译设计文件 编程下载 仿 真 硬件调试

  10. 2.3.1 编辑输入图形设计文件 使用Quartus II设计电路系统之前,需要先建立设计项目(Project)。例如,用图形编辑法设计8位加法器adder8时,需要先建立adder8的设计项目。在Quartus II集成环境下,执行“File”菜单的“New Project Wizard”命令,弹出如图所示的新建设计项目对话框的“New Project Wizard: Directory,Name,TOP-Level Entuty [page 1 of 5]”页面(新建设计项目对话框共5个页面)。

  11. 此页面用于登记工程设计文件的地址(文件夹)、设计项目的名称和顶层文件实体名。在对话框的第一栏中填入项目所在的文件夹名;第二栏是设计项目名,需要填入新的设计项目名,第三栏是顶层文件实体名,需要填入顶层文件实体的名称。设计项目名和顶层文件实体名可以同名,一般在多层次系统设计中,以与设计项目同名的设计实体作为顶层文件名。此页面用于登记工程设计文件的地址(文件夹)、设计项目的名称和顶层文件实体名。在对话框的第一栏中填入项目所在的文件夹名;第二栏是设计项目名,需要填入新的设计项目名,第三栏是顶层文件实体名,需要填入顶层文件实体的名称。设计项目名和顶层文件实体名可以同名,一般在多层次系统设计中,以与设计项目同名的设计实体作为顶层文件名。

  12. 新建项目对话框的第2页面用于增加设计文件,包括顶层设计文件和其他底层设计文件。如果顶层设计文件和其他底层设计文件已经包含在工程文件夹中,则在此页面中将这些设计文件增加到新建项目中。新建项目对话框的第2页面用于增加设计文件,包括顶层设计文件和其他底层设计文件。如果顶层设计文件和其他底层设计文件已经包含在工程文件夹中,则在此页面中将这些设计文件增加到新建项目中。 新建项目对话框第3页面用于设置编程下载的目标芯片的类型与型号。在编译设计文件前,应先选择下载的目标芯片,否则系统将以默认的目标芯片为基础完成设计文件的编译。目标芯片选择应根据支持硬件开发和验证的开发板或试验开发系统上提供的可编程逻辑器件来决定。不同的适配板上的可编程逻辑不同。

  13. 新建设计项目对话框的第4页面用于设置第三方EDA工具软件的使用,一般情况下可以设置为“不使用”(在第三方工具软件选择框不出现“√”)。新建设计项目对话框的第4页面用于设置第三方EDA工具软件的使用,一般情况下可以设置为“不使用”(在第三方工具软件选择框不出现“√”)。 新建设计项目对话框的第5页面用于显示新建设计项目的摘要,用鼠标点击此页面下方的“Finish”按钮,完成新设计项目的建立。

  14. 图2.22 编辑文件类型对话框 新的项目建立后,在Quartus II集成环境下,执行“File”菜单的“New”命令,在弹出编辑文件类型对话框中,选择 “Block Diagram/Schematic File ”(模块/原理图文件)方式,进入Quartus II图形编辑方式的窗口界面。

  15. 参数可设置的强函数元件库 MAX+plus库 基本逻辑元件库 基本逻辑元件库中的元件 由此输入所需要的元件名 图2.23 元件选择框 在原理图编辑窗中的任何一个位置上用双击鼠标,弹出一个元件选择窗。

  16. 图2.24 8位加法器的原理图 在8位加法器adder8的设计中,将电路设计需要的2个4位加法器74283以及输入、输出元件符号调人图形编辑框中,根据8位加法器设计的原理图,用鼠标完成电路内部的连接以及与输入、输出元件的连接,并将相应的输入元件符号名分别更改为“A[7..0]”和“B[7..0]”,把输出元件的名称分更改为“SUM[7..0]”和“COUT”,如图所示。其中A[7..0]和B[7..0]是两个8位加数输入端,SUM[7..0]是8位和数输出端,COUT是向高位进位输出端。电路设计完成后,用“adder8.bdf ”为文件名保存在工程目录中。 2014/10/14

  17. 塔形显示 编译器 编程器 管脚编辑器 波形仿真器 文本 指针 元器件工具 模块 orthogonal bus tool 正交节点工具 正交总线工具 正交映射工具 橡皮筋工具 线条部分选择工具 缩放 查找 全屏 翻转 作图工具

  18. 图2.25 Quartus II编译工具界面 2.3.2 编译设计图形文件 执行Quartus II主窗口“Processing”菜单的“Start Compilation”命令,或者在主窗口上直接用鼠标左键点击“开始编译”命令按钮,开始对adder8.bdf文件进行编译。编译工具的编译过程界面如图2.25所示,编译过程包括分析与综合、适配、编程和时序分析等4个环节。 2014/10/14

  19. 新建波形文件编辑窗口界面 2.3.3 仿真设计文件 1. 建立波形文件 执行Quartus II主窗口“File”菜单的“New”命令,在弹出编辑文件类型对话框,选择对话框“Other Files”中的“Vector Waveform File ”方式,进入Quartus II波形编辑方式,弹出新建波形文件编辑窗口界面。

  20. 节点发现者对话框 插入信号节点对话框 2. 输入信号节点 在波形编辑方式下,执行“Edit”菜单下的“Insert Node or Bus…”命令,或在波形文件编辑窗口的“Name”栏中点击鼠标右键,在弹出的快捷菜单中选择“Insert Node or Bus…”命令,弹出插入节点或总线(Insert Node or Bus…)对话框,。在“Insert Node or Bus…”对话窗口中首先点击“Node Finder…”键,弹出节点发现者(Node Finder)对话框。

  21. 在“Node Finder”对话框的“Filter”栏目中,用选择“Pins:all”项,再点击“Start”按钮,这时在窗口左边的“Nodes Found:”(节点建立)框中将列出该设计项目的全部信号节点。若在仿真中需要观察全部信号的波形,则点击窗口中间的“>>”按钮;若在仿真中只需要观察部分信号的波形,则首先用鼠标左键将信号名点黑选中,然后点击窗口中间的“>”按钮,选中的信号即进入到窗口右边的“Selected Nodes:”(被选择的节点)框中。如果需要删除“Selected Nodes:”框中的节点信号,也可以用鼠标将其选中,然后点击窗口中间的“<”按钮。节点信号选择完毕后,点击“OK”按钮。

  22. 设置仿真时间域对话框 3. 设置波形参量 Quartus II默认的仿真时间域是1s,如果需要更长时间观察仿真结果,可执行“Edit”命令菜单中的“End Time…”选项,在弹出的如图2.30所示的“End Time”(设置仿真时间域)对话框中,输入适当的仿真时间域(如10s),后点击“OK”按钮完成设置。 执行操作:Edit | end time…

  23. 选择工具 文本工具 编辑工具 放大/缩小工具 全屏显示 发现 替换 未初始化(U) 强未知(X) 0电平(强0) 1电平(强1) 高阻(Z) 弱未知(W) 弱0(L) 弱1(H) 无关(DC) 反相 计数值 时钟 任意值 随机值 为输入信号编辑测试电平或数据示意图 4. 编辑输入信号 为输入信号编辑测试电平或数据的示意图如图所示。仿真编辑窗口的左侧各种功能选择按钮的主要功能及使用方法如下:

  24. 8位加法器的仿真波形 5. 波形文件存盘 执行“File”选项的“Save”命令,完成波形文件的存盘。 6. 运行仿真器 执行“Processing”中的“Start Simulation”命令,对设计电路进行仿真。

  25. 2.3.4 编程下载设计文件 编程下载是指将设计处理中产生的编程数据文件通过EDA软件放到具体的可编程逻辑器件中去的过程。对CPLD器件来说是将JED文件下载(Down Load)到CPLD器件中去,对FPGA来说是将位流数据BG文件配置到FPGA中去。 编程下载需要可编程逻辑器件的开发板或试验开发系统支持。 1. 基于GW48的编程下载过程 GW48的结构与功能见本书的附录A,基于GW48的 8位加法器设计的编程下载过程,包括选择实验模式、引脚锁定和编程下载三个部分。

  26. (1)选择实验模式 用EDA方式设计的电路的最终目的,是得到满足设计功能的硬件电路,在将设计电路编程下载到目标芯片之前,需要进行引脚锁定。引脚锁定就是根据目标芯片的引脚分布规则,确定设计电路的输入和输出端口与目标芯片的连接关系,即把哪一条设计电路的输入/输出端口,连接到目标芯片的哪一只引脚(Pin)上。 在进行目标芯片的引脚锁定之前,首先需要确定目标芯片的引脚与GW48的外部设备(如按钮、发光二极管、七段数码器等)的连接关系,把目标芯片的引脚与实验开发系统的外部设备连接的方式称为实验模式。GW48上的目标芯片与外部设备连接的方式有十几种,因此其实验模式也有十几种。对于每一个具体的设计,需要选择一个合适模式,才能对其进行完整的实验验证。8位加法器设计的实验验证可以选择实验电路结构图NO.1提供的实验模式,即实验模式NO.1。

  27. 8位加法器与目标芯片引脚的连接关系表 端口名称 I/O管脚 芯片引脚 端口名称 I/O管脚 芯片引脚 B[0] PIO0 5 A[4] PIO12 22 B[1] PIO1 6 A[5] PIO13 23 B[2] PIO2 7 A[6] PIO14 24 B[3] PIO3 8 A[7] PIO15 25 B[4] PIO4 9 SUM[0] PIO24 39 B[5] PIO5 10 SUM[1] PIO25 47 B[6] PIO6 11 SUM[2] PIO26 48 B[7] PIO7 16 SUM[3] PIO27 49 A[0] PIO8 17 SUM[4] PIO28 50 A[1] PIO9 18 SUM[5] PIO29 51 A[2] PIO10 19 SUM[6] PIO30 52 A[3] PIO11 21 SUM[7] PIO31 53 COUT PIO39 65 (2)引脚锁定 引脚锁定前应首先选择目标芯片,本实验选择的目标芯片为EPF10K10LC84-4。参考附录A“GW48使用说明”中的实验电路结构图NO.1和表A.5,确定引脚锁定。

  28. 设置编程方式窗口 (3)下载设计文件 在Quartus II软件界面上选择“Tools”的编程器“Programmer”命令或者用鼠标左键直接点击“Programmer”命令按钮,弹出设置编程方式窗口。

  29. 下载设计文件之前需要设定编程方式。在设置编程方式窗口中,用鼠标左键点击“Hardware…”(硬件设置)按钮,在弹出“Hardware Setup”硬件设置对话框中点击“Add Hardware”按钮,在弹出的添加硬件对话框中选择“ByteBlasterMV[LP1]”编程方式。ByteBlasterMV[LP1]编程方式对应计算机的并行口编程下载通道,“MV”是混合电压的意思,主要指对ALTERA的各类芯片电压的FPGA/CPLD都能由此编程下载。 硬件设置完成后,在返回到的设置编程方式窗口中,在“Mode:”栏目选择Passive Serial模式,该模式适用于Altera公司的可编程逻辑器件。 完成上述操作后,执行“Processing”的“Stare”命令,或者用鼠标左键点击设置编程方式窗口左边开始编程按钮“Start”,实现设计电路到目标芯片的编程下载。

  30. (4)硬件验证设计电路 用并行电缆将GW48与计算机的并行接口连接在一起,打开GW48的电源,按动模式选择开关选择工作模式“1”。按动GW48实验板上的十六进制数输入按钮“键4”、“键3”、“键2”和“键1”,得到A[7..0]和B[7..0]不同的输入组合;观察输出发光二极管“D8”的亮灭及“数码8”和“数码7”上的数字组合,硬件验证8位加法器的功能。 课堂提问

  31. “Convert MAX+PLUSⅡ Project”对话框 2.4 MAX+PLUS Ⅱ设计项目的转换 Quartus II软件可以将MAX+PLUS Ⅱ设计的项目转换到Quartus II环境下执行,执行Quartus II主窗口“File”菜单的“Convert MAX+PLUS Ⅱ Project…”命令,完成MAX+PLUS Ⅱ设计文件到的Quartus II设计项目的转换,并建立Quartus II设计项目名称(如cont10)。

  32. 2.5 Quartus II宏功能模块的使用方法 使用Quartus II 的MegeWizard Plug-In Manager中的宏功能模块可以帮助用户完成一些复杂系统的设计,并可以方便地对现有的设计文件进行修改。这些宏功能模块包括LPM(Library Parameterized Megafunction)、MegaCore(例如FFT、FIR等)和AMMP(Altera Megafunction Partners Program,例如PCI、DDS等)。下面以波形发生器的设计为例,介绍Quartus II宏功能模块的使用方法。

  33. 波形发生器的原理图 2.5.1 设计原理 在波形发生器的原理图中,lpm_counter0是LPM计数器,lpm_rom0是LPM只读存储器(ROM)。ROM中保存的是某种波形信号(如锯齿波或正弦波)的数据,其地址由计数器lpm_counter0提供。lpm_counter0是一个8位加法计数器,在时钟的控制下计数器的输出q[7..0]由00000000到11111111循环变化,使ROM输出周期性的波形信号的数据。

  34. pm_counter元件选择窗 2.5.2 编辑输入顶层设计文件 首先为波形发生器建立新的设计项目“mydds”,并选择Cyclone系列的EP1C6Q240C8作为设计项目的下载目标芯片。然后执行“File”菜单的“New”命令,打开一个新的“Block Diagram/Schematic File ”编辑窗口。 1. 加入计数器元件 用鼠标双击原理图编辑窗,在弹出的元件选择窗的“Libraries”栏目中选择“arithmetic”的“lpm_counter”(计数器)LPM元件。LPM是参数化的多功能库元件,每一种LPM元件都具有许多端口和参数,通过对端口的选择与参数的设置得到设计需要的元件。

  35. MegaWizard Plug-In Manager[page 2c]对话框页面 计数器元件选定后用鼠标点击“OK”按钮,弹出“MegaWizard Plug-In Manager[page 2c]”对话框页面。在该对话框页面中,选择VHDL(或Verilog HDL)作为输出文件的类型,并将生成的计数器名称及保存的文件夹输入到“What name do you want for the output file ?”栏目中。

  36. LPM_COUNTER[page 1 of 4]”对话框页面 完成上述操作后,点击“Next”按钮,进入计数器参数设置的下一个对话框页面“MegaWizard Plug-In Manager –LPM_COUNTER[page 1 of 4]”。在此页面中设置计数器的q输出位数为8bit,时钟输入clock的有效边沿为“Up only”(上升沿有效)。时钟边沿也可以选择“Down only”(下降沿有效)或“Updown”(双边沿有效)。

  37. LPM_COUNTER[page 2 of 4]”对话框页面 完成计数器此页的参数设置后点击“Next”按钮,进入计数器参数设置的“MegaWizard Plug-In Manager –LPM_COUNTER[page 2 of 4]”对话框页面。在此对话框页面中,选择计数器的类型为“Plain binary”(二进制)。计数器的类型除了二进制外,还可以选择任意模值,如5、10、60等。另外,计数器还可以增加一些输入或输出端口,如“Clock Enable”(时钟使能)、“Cary-in”(进位输入)、“Count Enable”(计数器使能)和“Cary-out”(进位输出)。

  38. LPM_COUNTER[page 3 of 4]”对话框页面 完成计数器此页的参数设置后点击“Next”按钮,进入计数器参数设置的“MegaWizard Plug-In Manager –LPM_COUNTER[page 3 of 4]”对话框页面。此页面用于为计数器添加同步或异步输入控制端,如“Clear”(清除)、“Load”(预置)等。

  39. LPM_COUNTER[page 4 of 4]”对话框页面 点击“Next”按钮,进入计数器参数设置的“MegaWizard Plug-In Manager –LPM_COUNTER[page 4 of 4]”对话框页面,这是计数器参数设置的最后一个页面,主要用于选择生成计数器的输出文件,如VHDL的文本文件“lpm_rom0.vhd”、图形符号文件“lpm_rom0.bsf”等。至此,计数器参数设置完成,用鼠标左键点击点击“Finish”按钮结束设置。

  40. 存储器参数设置对话框 2. 建立存储器初值设定文件 为了将数据装入ROM中,在加入并设置ROM之前,应首先建立一个存储器初值设定文件(或称为.mif格式文件)。 ① 在Quartus II集成环境下,执行“File”菜单的“New”命令,打开一个新的“Memory initialization file”(存储器初值设定文件)编辑窗口,在弹出的存储器参数设置对话框中输入存储器的字数(Number of words)为256,字长(Word size)为8位。

  41. 存储器初值设定文件的界面 ② 存储器的参数设置结束后点击“OK”按钮,弹出存储器初值设定文件的界面,将此文件以.mif为类型属性(如mydds.mif)保存在工程目录中。在存储器初值设定文件的界面中,执行Address Radix项则可对存储器的地址基数进行选择,地址有Binary、Decimal、Octal和Hexadecimal等4种基数数制选择。执行Memory Radix项则可对存储器单元中的数据基数进行设置,存储器数据有Binary、Hexadecimal、Octal、Signed Decimal和Unsigned Decimal等5种基数选择。

  42. 格式文件操作快捷菜单 “Custom Fill Cells”对话框 ③ 将数据加入存储器初值设定文件中。新建的存储器初值设定文件中的数据全部为0,在存储器初值设定文件的界面可以直接输入每个存储器字的数据,也可以用鼠标点击文件界面,在弹出的格式文件操作快捷菜单提示下,完成数据输入。

  43. 例如,在弹出的格式文件操作快捷菜单中选择“Custom Fill Cells”(块填充)项,在弹出“Custom Fill Cells”对话框的“Starting address”栏目内输入起始地址(如00),在“Ending address”栏目内输入结束地址(如ff);将“Incrementing/Decrementing”选中后,在“Starting Value”栏目中输入起始值(如0),在“Increment by”(或Decrement by)栏目中输入增加(或减少)值(如2)。完成上述操作后点击“OK”按钮,结束.mif格式文件中的数据填充。数据填充的结果为:从00地址开始到ff地址结束,数据由00值开始存储于00地址单元,并将此值递增2后填入下一个存储单元;当递增的值大于8位二进制数的最大值(即255)后,数据又从00值开始重新填写,直至结束地址为止。用上述方法产生的存储器初始数据实际是一个锯齿波发生器的数据。

  44. MegaWizard Plug-In Manager[page 2c]对话框 3. 加入只读存储器ROM元件 用鼠标双击原理图编辑窗,在弹出的元件选择窗的“Libraries”栏目中选择“storage”的“lpm_rom”(只读存储器ROM)LPM元件。用鼠标点击“OK”按钮后弹出“MegaWizard Plug-In Manager[page 2c]”对话框页面。在该对话框页面中,选择VHDL(或Verilog HDL)作为输出文件的类型,并将生成的只读存储器名称及保存的文件夹)输入到“What name do you want for the output file ?”栏目中。

  45. LPM_ROM[page 1 of 4]对话框页面 完成上述操作后,点击“Next”按钮,进入ROM参数设置的下一个对话框页面“MegaWizard Plug-In Manager –LPM_ROM[page 1 of 4]”。在此页面中设置ROM的q输出位数为8bit,字数为256,采用单时钟控制方式。

  46. LPM_ROM[page 2 of 4]对话框页面 完成页的参数设置后点击“Next”按钮,进入ROM参数设置的“MegaWizard Plug-In Manager –LPM_ROM[page 2 of 4]”对话框页面。此对话框页面用于选择ROM的clken(时钟使能)和aclr(清除)输入控制端(本例设计不选择)。

  47. LPM_ROM[page 3 of 4]对话框页面 完成此页的参数设置后点击“Next”按钮,进入ROM参数设置的“MegaWizard Plug-In Manager –LPM_ROM[page 3 of 4]”对话框页面。在此页面的“Do you want to…”栏目中选中“Yes,use this file for the memory content data”项,并输入初始化数据文件名(如mydds.mif)。另外,将“Alow In-System Memory …”项选中,表示允许Quartus II能通过JTAG口对下载于FPGA中的ROM进行在系统测试和读写。

  48. 完成此页的参数设置后点击“Next”按钮,进入ROM参数设置的“MegaWizard Plug-In Manager –LPM_ROM[page 4 of 4]”对话框页面。这是ROM参数设置的最后一个页面,此页面主要用于选择生成ROM的输出文件。至此,ROM参数设置完成,用鼠标左键点击“Finish”按钮结束设置。 4. 编辑和编译顶层设计文件 在新建的图形编辑窗口中加入计数器lpm_couter0和只读存储器lpm_rom0元件后,再加入设计电路的输入和输出元件,按照波形发生器原理图完成电路中的连线。以“mydds.bdf”作为顶层文件名将设计文件保存于工程目录中,并通过Quartus II的编译。

  49. 波形发生器的仿真结果 2.5.3 仿真顶层设计文件 为波形发生器设计建立仿真文件,然后执行“Processing”中的“Start Simulation”命令,或点击“Start Simulation”按钮,对波形发生器设计电路进行仿真,仿真波形输出的数据就是在存储器初值设定文件中加入的(锯齿波发生器)数据。

  50. 2.5.5 图形文件的转换 为了使利用Quartus II宏功能模块设计的电路能在其他软件平台运行和验证,可将其转换为HDL文件。执行Quartus II主窗口“File”菜单的“Create/Update”项的“Create HDL Design File for Current File”命令,弹出产生HDL文件对话框,选择产生VHDL或Verilog HDL类型文件。HDL文件类型确定后,用鼠标左键点击“OK”按钮,即可为当前的设计产生VHDL文件或Verilog HDL文件。

More Related