1 / 116

课程名称: EDA 技术 Electronic Design Automation Technology

课程名称: EDA 技术 Electronic Design Automation Technology. 教材: EDA 技术实用教程 潘松 黄继业 编著 科学出版社 参考书: 1.VHDL 硬件描述语言与数字逻辑电路设计 侯伯亨 顾新 编著 西安电子科技大学出版社 2. CPLD 技术及其应用 宋万杰 编著 西安电子科技大学出版社. 相关网站. www.fpga.com.cn www.edaclub.net www.edachina.com. 本课程教学安排:

Download Presentation

课程名称: EDA 技术 Electronic Design Automation Technology

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 课程名称:EDA技术 Electronic Design Automation Technology

  2. 教材:EDA技术实用教程 潘松 黄继业 编著 科学出版社 • 参考书: 1.VHDL硬件描述语言与数字逻辑电路设计 侯伯亨 顾新 编著 西安电子科技大学出版社 2. CPLD技术及其应用 宋万杰 编著 西安电子科技大学出版社

  3. 相关网站 • www.fpga.com.cn • www.edaclub.net • www.edachina.com

  4. 本课程教学安排: 总学时:20学时,课堂教学10学时,上机10学时 • 教学内容: 第一章 概述 第二章 EDA设计流程及其工具 第四章 原理图输入设计方法 第五章 VHDL设计初步 第六章 VHDL设计进阶 第七章 有限状态机设计 第八章 VHDL结构与要素 第九章 VHDL基本语句 • 教学目的:了解一类器件、掌握一门设计语言、熟悉一种设计工具

  5. 第一讲 主要内容: • 1. EDA简介 • 2. EDA设计流程及工具 • 3.原理图输入设计方法

  6. 一、EDA技术 现代电子设计技术的核心是EDA(Electronic Design Automation)技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

  7. 1. EDA技术实现目标 利用EDA技术进行电子系统设计,最后的目标是完成专用集成电路ASIC的设计和实现。 三条实现途径: 1)超大规模可编程逻辑器件*** 主流器件: • FPGA(Field Programmable Gate Array) • CPLD (Complex Programmable Logic Device) 2)半定制或全定制ASIC 3)混合ASIC

  8. 2. 硬件描述语言VHDL 硬件描述语言是EDA技术的重要组成部分, VHDL语言是电子设计主流硬件的描述语言,是 硬件描述语言的业界标准之一。 现在,VHDL和 Verilog作为IEEE的工业标准 硬件描述语言,得到众多EDA公司的支持,在电 子工程领域,已成为事实上的通用硬件描述语言。 其优点见教材P5。 用VHDL语言表达和设计电路,一般包括三 部分:库说明、实体和结构体。

  9. 3. VHDL综合 综合---把某些东西结合到一起,把设计抽象层次中的一种表示转化成另一种表示的过程。在电子设计领域中,综合可以表示成:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配而成的过程。 事实上,设计过程中的每一步都可称为一个综合环节。设计过程通常从高层次的行为描述开始,以最低层的结果描述结束,每个综合步骤都是上一层次的转换。 (1)自然语言综合 (2)行为综合 (3)逻辑综合 (4)结构综合或者版图综合

  10. 综合器---能够自动将一种设计表示形式向另一种设计表示形式转换的计算机程序,或协助进行手工转换的程序。综合器---能够自动将一种设计表示形式向另一种设计表示形式转换的计算机程序,或协助进行手工转换的程序。 • 高层次的表示 低层次的表示 • 行为域 结构域 • 算法级 门级

  11. 编译器和综合器功能比较

  12. VHDL综合器运行流程

  13. 4. 基于VHDL的自顶向下设计方法 传统的硬件电路设计方法:自底向上 元器件、芯片→功能模块→整个系统 缺点:低效、低可靠性、费时费力、成本高昂。 自顶向下的设计方法是EDA技术的首选设计方法,是ASIC或FPGA开发的主要设计手段。自顶向下设计方法就是在整个设计流程中各设计环节逐步求精的过程。一个项目的设计过程包括从自然语言说明到VHDL的系统行为描述、系统分解、RTL模型的建立、门级模型产生,到最终的可以物理布线实现的底层电路,就是从高抽象级别到低抽象级别的整个设计周期。

  14. 自顶向下的设计流程

  15. 5. EDA与传统电子设计方法的比较 传统的电子系统或IC设计中,手工设计 占了较大比例。缺点如下: (1)复杂电路的设计、调试十分困难; (2)如果某一过程存在错误,查找和修改十分不便; (3)设计过程中产生大量文挡,不易管理; (4)对于集成电路设计而言,设计实现过程与具体 生产工艺直接相关,因此可移植性差; (5)只有在设计出样机或生产出芯片后才能进行实测。

  16. 采用EDA技术的优点: (1)采用硬件描述语言作为设计输入; (2)库(Library)的引入;(支持自动设计) (3)设计文挡的管理; (4)强大的系统建模、电路仿真功能; (5)具有自主知识产权; (6)开发技术的标准化、规范化以及IP核的可利用性; (7)适用于高效率大规模系统设计的自顶向下设计方案; (8)全方位地利用计算机自动设计、仿真和测试技术; (9)对设计者的硬件知识和硬件经验要求低; (10)与以CPU为主的电路系统相比,高速性能好; (11)纯硬件系统的高可靠性。

  17. 6. EDA的发展趋势 • IC设计的发展方向:单片系统或称系统集成芯片, • 即在一个芯片上完成系统级的集成。 • 更趋于电路行为级的硬件描述语言,如SystemC、 • Superlog及系统级混合仿真工具,可以在同一个开发 • 平台上完成高级语言,如C/C++等,与标准HDL语言 • (Verilog HDL、VHDL) 或其他更低层次描述模块 • 的混合仿真。 • FPGA与ASIC正在互相融合,取长补短。 • 目前,许多PLD公司开始为ASIC提供FPGA 内核。 • 现在,传统ASIC和FPGA之间的界限正变得模糊。 • 系统级芯片不仅集成RAM和微处理器,也集成FPGA。

  18. 二、 EDA设计流程及其工具 FPGA/CPLD设计流程 应用于FPGA/CPLD的EDA开发流程

  19. 1、设计输入(原理图/HDL文本编辑) • (1)图形输入 • 三种常用方法:原理图输入 • 状态图输入 • 波形图输入 • 原理图输入:在EDA软件的图形编辑界面上绘制 • 能完成特定功能的电路原理图。 • (2)HDL文本输入 • 与传统的计算机软件语言编辑输入基本一致。 • 即将使用了某种HDL的电路设计文本,如VHDL • 或Verilog的源程序,进行编辑输入。

  20. 2、综合 将软件设计的HDL描述与硬件结构挂钩。 将设计者在EDA平台上编辑输入的HDL文 本、原理图或状态图形描述,依据给定的硬件 结构组件和约束控制条件进行编译、优化、转 换和综合,最终获得门级电路甚至更底层的电 路描述网表文件。

  21. 3、适配 适配器的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC,Jam格式的文件。 适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时产生可用于编程的文件。

  22. 4、时序仿真与功能仿真 在编程下载前,必须利用EDA工具对适配生成的结果进行模拟测试,就是所谓的仿真。即让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计,排除错误。

  23. 5、编程下载 把适配后生成的下载或配置文件,通过编程器或编程电缆向FPGA或CPLD进行下载,以便进行硬件调试和验证(Hardware Debugging)。 CPLD:以乘积项结构方式构成逻辑行为的器件。 FPGA:以查表法结构方式构成逻辑行为的器件。 目前,FPGA具有更广泛的含义。

  24. 6、硬件测试 最后将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试, 以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。

  25. 常用EDA工具 • EDA工具大致可以分为五个模块: • 设计输入编辑器 • 仿真器 • HDL综合器 • 适配器(或布局布线器) • 下载器 集成的EDA开发环境:MAX+plusⅡ, Quartus Ⅱ 。

  26. 1. MAX+plusⅡ概述 MAX+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera公司是世界最大的可编程逻辑器件供应商之一。 MAX+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。 在 MAX+plusⅡ编译设计主控界面上,它显示了MAX+plusⅡ自动设计的各主要处理环节和设计流程,包括设计输入编辑、编译网表提取、数据库建立、逻辑综合、路基分割、适配、延时网表提取、编程文件汇编(装配)以及编程下载9个步骤。

  27. 编译设计 主控界面

  28. 编译网表提取 数据库建立 逻辑综合 逻辑分割 延时网表提取 编程文件汇编 适配 图形或HDL 编辑器 下载 设计输入 综合或编辑 适配器件 编程器 仿真 MAX+plusⅡ设计流程 标准的EDA开发流程

  29. 启动界面

  30. 原理图编辑器

  31. 文本编辑器

  32. 波形编辑器

  33. 三、原理图输入设计方法 利用EDA工具进行原理图输入设计的优点是, 设计者不必具备许多诸如编程技术、硬件语言等 知识就能迅速入门,完成较大规模的电路系统设 计。 MAX+plusⅡ提供了功能强大、直观便捷和操 作灵活的原理图输入设计功能,同时还配备了适用 于各种需要的元件库,其中包含基本逻辑元件库、 宏功能元件,以及功能强大,性能良好的类似于IP 核的兆功能块LPM库。

  34. 4.1 1位全加器设计向导 4.1.1 基本设计步骤 1. 为本项工程设计建立文件夹 如:E:\MY_PRJCT 2. 输入设计项目和存盘 (1)打开原理图编辑窗 “File”→ “ New”→ “File Type” → “Graphic Editor File” → “ OK”

  35. (2)右击鼠标,选择“Enter Symbol”,

  36. (3)调入所需各元件,并连接好, 输入各引脚名:a、b、co、so (4)将图文件取名为:h_adder.gdf,存入E:\MY_PRJCT目录 “File”→ “ Save As”→ …… → “ OK”

  37. 3.将设计项目设置成工程文件(PROJECT) 第一种方法

  38. 第二种方法

  39. 利用快捷键 第三种方法

  40. 4.选择目标器件并编译

  41. 快捷键 启动编译器

  42. 5.时序仿真 (1)建立波形文件

More Related