1 / 14

Design of a 300 Mbps Unified 3G/4G Turbo Decoder Using High-Level Synthesis

Design of a 300 Mbps Unified 3G/4G Turbo Decoder Using High-Level Synthesis. Primary Author: Sandeep RK Secondary Author: Pankaj Saxena Company/Organization: Broadcom. Agenda. Motivation Turbo Decoder Challenges Methodology Results HLS Advantages Recommendations. Motivation.

angus
Download Presentation

Design of a 300 Mbps Unified 3G/4G Turbo Decoder Using High-Level Synthesis

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Design of a 300 Mbps Unified 3G/4G Turbo Decoder Using High-Level Synthesis Primary Author: Sandeep RK Secondary Author: Pankaj Saxena Company/Organization: Broadcom

  2. Agenda • Motivation • Turbo Decoder • Challenges • Methodology • Results • HLS Advantages • Recommendations

  3. Motivation • Scalable architecture • Should support the following throughputs: • 3G – 3.6, 7.2, 14, 21, 42, 84, 168 Mbps • LTE – 75, 100, 150, 300 Mbps • Minimum area and power • Establish high-level synthesis (HLS) flow

  4. 3G/LTE Turbo Encoder • Ref: 3GPP TS 36.212 version 10.2.0 Release 10

  5. Challenges • Address conflicts in 3G interleaver • >5000 block sizes in 3G. • Results in nondeterministic throughput. • Modeling is required to choose the architecture. • Odd block sizes in 3G • Interleaved address generation in 3G

  6. Turbo Decoder • 300 Mbps for LTE, and 168 Mbps for 3G • Eight parallel Radix4 MAP decoders • Up to eight full iterations • CRC-based early termination

  7. Block Diagram

  8. Methodology • Design and test bench: SystemC • Each block in one or two CThreads • Verification: Bit matching with reference vectors • HLS tool: Forte Cynthesizer • RTL synthesis: Design Compiler • Single test bench for performance evaluation, RTL, and netlist simulations

  9. Results • ~60% area reduction compared to separate 3G & 4G decoders. • Area is reduced by 10% due to HLS. • ~50% is memory. • Area is reduced proportional to throughput due to scalable architecture. • BER remains the same. • Throughput requirements are met for both 3G & LTE.

  10. HLS Advantages • Architecture exploration • Process technology independent design • Readily available interfaces • Built-in math operations like saturation, rounding, etc. • Smaller area • Easy to work with memories • Shorter design cycle

  11. Recommendations • Control signals should flow along with the data path. • Adds flexibility to synthesize designs at different frequencies. • Reset values to all variables. • SystemC assigns 0 by default. • Group the inputs/outputs having the same delay requirements. • Avoid multicycle paths. • Use consistent coding style. • Input registering versus output registering.

  12. Recommendations (continued) • Memory access delays are different in SystemC and RTL. Need a scheme to avoid SystemC/RTL mismatch. • Avoid write-through conditions in dual-port memories. • Be careful about simultaneous read/write operations in single-port memories.

  13. Questions?

  14. Thank you!

More Related