1 / 27

Packages

Packages. The primary purpose of a package is to encapsulate elements that can be shared (globally) among two or more design units. A package is a common storage area used to hold data to be shared among a number of entities

wold
Download Presentation

Packages

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Packages • The primary purpose of a package is to encapsulate elements that can be shared (globally) among two or more design units. • A package is a common storage area used to hold data to be shared among a number of entities • Declaring data inside of a package allows the data to be referenced by other entities; thus data can be shared.

  2. Package (cont..) • A package consists of two parts: • A package declaration section • A package body

  3. Package Declaration • The package declaration section can contain the following declaration: • Subprogram declaration • Type, Subtype declaration • Constant, deferred constant • Component declaration • Attribute declaration • File declaration

  4. Package declaration (example) Package example is type nineval is (z0,z1,z2,r0,r1,r2,f0,f1,f2); type t_cluster is arary (0 to 15) or nineval; type t_clus_vec is array (natural range <>) of t_cluster; function resolve (s : t_clus_vec) return t_cluster; subtype t_wclus is resolve_cluster t_cluster; constant undriven : t_wclus; End example;

  5. Package body • The package body can also contain the following declaration: • Subprogram declaration/body • Type, subtype declaration • Constant declaration • File declaration • Alias declaration • Use clause

  6. Package body (example) Package body cluspack is constant undriven : t_wclus:= (zx,zx); function resolve_cluster (s: t_clus_vec) return t_cluster is variable result : t_cluster; variable drive_const: integer; begin if s’length = 0 then return undriven end if;

  7. (cont..) For I in s’range loop if s(I) /= undriven then drive_count := drive_count + 1; if drive_count = 1 then result := a (I); else result : = undriven end if; End loop Return result; -- return value End resolve_cluster; -- end function End cluspack; -- end package

  8. Subprograms • Subprograms consist of • Procedure • Function

  9. Subprogram properties • Similar to PROCESS statements • IF, CASE, and LOOP, WAIT is not allowed. • Fundamental difference at the level of application. • Process is intended for immediate use in the main code, the others are intended mainly for Library allocation, I.e. their purpose is to store commonly used pieces of code, so they can be reused or shared by other projects. • If, desired, a Function or Procedure can also be installed in the main code itself.

  10. A procedure can return more than one argument. A procedure can have input parameters, output parameters and inout parameters A function always returns just one. All parameters are input parameters E.g. data type conversions, logical operations, arithmetic computations, new operator and attributes Signal declaration and component instantiation is not allowed. Procedure and Function

  11. Function syntax • To construct and use of a function, two parts are necessary: • The function body and • A call to the function

  12. Function body FUNCTION function_name [<parameter list>] RETURN data_type is [declaration] Begin [sequential statements] END function_name;

  13. Function body (cont..) • <parameter list> = [CONSTANT] constant_name: constant_type; or • <parameter list>= SIGNAL signal_name:signal_type; • VARIABLES are not allowed. • There can be any type of synthesizable data types. • No range specification should be included (e.g. do not enter range when using INTEGER or TO/Down to when using std_logic_vector) • There is only one return value, whose type is specified by data_type.

  14. Example of function body FUNCTION f1 (a,b : integer; signal c : std_logic_vector ) return BOOLEAN is Begin (sequential statements) END f1;

  15. Function call • A function is called as par of an expression. The expression can obviously appear by itself or associated to a statement (either concurrent or sequential). • Example of function calls: X <= conv_integer (a); -- converts a to an integer Y <= maximum (a,b); --returns the largest of a and b; If x > maximum (a,b); --compares x to the largest of a,b

  16. Function Use library ieee; Use ieee.std_logic_1164.all; package num_type is type log8 is array (0 to 7) of std_logic; end num_type;

  17. Use library ieee; Use ieee.std_logic_1164.all; Use work.num_type.all; Entity convert is port (i1 : in log8; o1 : out integer); End convert;

  18. Architecture behave of convert is function vector_to_int (s : log8) return integer is variable result : integer := 0; begin for I in 0 to 7 loop result : = result * 2: if s(I) = ‘1’ then result := result + 1; end if; end loop; return result; end vector_to_int; Begin o1 <= vector_to_int(i1); End behave;

  19. Conversion Function • Conversion Function are used to convert an object of one type to another. • They are used in component instantiation statement to allow mapping of signals and ports of different types.

  20. Conversion function (example) Function conversion4val (s: fourval) return fourvalue is Begin case s is when x => return ‘x’; when L => return ‘0’; when H => return ‘1’ when z => return ‘z’; end case; End convert4val;

  21. Resolution Function • A resolution function is used to return the value of a signal when the signal is driven by multiple drivers. • A resolution function consists of a function that is called whenever one of the drivers for the signal has an event occur on it. • The resolution function is executed and returns a single value from all of the driver values; this value is the new value of the signal.

  22. Operator Overloading • When a standard operator symbol is made to behave differently based on the type of its operands, the operator is said to be overloaded. • Function bodies are written to define the behavior of overloaded operators. • The number of parameters in such a function must match in cardinality with that of the predefined operator.

  23. Procedure • A procedure is very similar to a function. • A procedure can return more than one value • Two parts are required to construct and use a procedure • Procedure body • Procedure call

  24. Procedure body Procedure procedure_name [<parameter list>] is [declarations] Begin (sequential statements) End procedure_name;

  25. Procedure (example) • Procedure my_procedure( a : in std_logic; signal b,c : in std_logic; signal x : out std_logic_vector(7 downto 0); signal y : inout integer range 0 to 99) is Begin ------ End my_procedure;

  26. <parameter list> • <parameter list> = [CONSTANT] constant_name: mode type • <parameter list> = SIGNAL signal_name: mode type; or • <parameter list> = VARIABLE variable_name : mode type • A procedure can have any number of IN,OUT or INOUT parameters, Which can be SIGNALS, VARIABLES, or CONSTANTS.

  27. Procedure call • Procedure call is a statement on its own. • It can appear by itself or associated to a statement (either concurrent or sequential) • Ex. • Compute_min_max(in1,in2,out1,out2)

More Related