1 / 35

Introduction to Verdi

Introduction to Verdi. Prepared by Abel Hu. Outline . Overview Design Constraint nTracd nSchema nWave Watch Waveform. Overview - Introduction. Verdi 是 NOVAS Software , Inc ( 思源科技 ) 發展的 HDL Debug & Analysis tool 。

teva
Download Presentation

Introduction to Verdi

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Introduction to Verdi Prepared by Abel Hu

  2. Outline • Overview • Design Constraint • nTracd • nSchema • nWave • Watch Waveform

  3. Overview - Introduction • Verdi 是NOVAS Software, Inc(思源科技)發展的HDL Debug & Analysis tool。 • 最強大的功能是在於能夠在HDL source code、schematic diagram、waveform、state bubble diagram之間,即時做trace,協助工程師debug。

  4. Overview – Technology Support Verdi's unique architecture features powerful compilers, interfaces, databases, analysis engines and visualization tools in an integrated system for complete debugging. Figure: Verdi Technology Overview

  5. Overview – Basic Function • nTrace • A source code viewer and analyzer that operates on the KDB to display the design hierarchy and source code (Verilog, VHDL, SysmVerilog, SystemC, PSL, OVA, mixed) for selected design blocks. • nWave • A state-of-the-art graphical waveform viewer and analyzer that is fully integrated with Verdi’s source code, schematic, and flow views • nSchema • A schematic viewer and analyzer that generates interactive debug-specific logic diagrams showing the structure of selected portions of a design Verdi開啟的主畫面 分析波形,可以由nTrace開啟 讓使用者可以在hierarchy 跟 netlist code 之間做trace動作

  6. Overview – Flow Chat • Verdi本身並沒有模擬器(Simulator),必須連結外部模擬器(ex: Verilog_XL , Modelsim and so on..)來產生FSDB file

  7. Outline • Overview • Design Constraint • nTrace • nSchema • nWave • Watch Waveform

  8. nTrace • nTrace: 提供使用者在Hierarchical Brower、Netlist code window中做trace之功能 • 啟動 Verdi : 在terminal中鍵入 verdi & • Import Files and generate FSDB file • 此時在工作目錄底下有自動新增verdilog 目錄 Verdi開啟的主畫面

  9. nTrace-read netlist 1 讀取使用者設計電路的netlist 2 4 3 依順序讀取電路

  10. nTrace – Toolbar Icons Figure: Temporal Flow View Toolbar Figure: Trace Driver and Trace Load Icons in nTrace Window

  11. nTrace-netlist information nTrace視窗裡,包含三個區域,Hierarchical Brower、Netlist code window、Message window。 Hierarchical Browser Netlist code Message window

  12. nTrace-setup simulator • Tool \ preferences • 設定使用者想使用的模擬器,以利產生出 FSDB file Verdi可以支援市面上大部分使用者使用的模擬器

  13. nTrace-active simulator • 路徑:Tools \ Interactive Mode 確認之後即可啟動Simulator

  14. nTrace– Function instruction • Click on the Run/Continueicon to start Verilog simulator. • Click on the Stopicon to stop your simulator while it is running. • You can have the simulator run to some time by entering time value in the Timetext field. • Use Simulation -> Resetto reset simulator. • Use Simulation -> Finishto finish simulation. • Use Simulation -> Kill Simulator Processto kill the simulator process.

  15. nTrace–User-Defined Commands • Verdi提供使用者自訂設定FSDB參數

  16. nTrace–Run simulation • 執行模擬- 產生verilog_i.fsdb 之後將可利用nWave執行分析 執行完成之後,會出現verilog_i.fsdb 以及執行多少時間

  17. Outline • Overview • Design Constraint • nTrace • nSchema • nWave • Watch Waveform

  18. nSchema • nSchema – 提供使用者可以在hierarchy browser 與netlist code之間做trace的動作 以下為例: 介紹trace的順序 Step1: 點擊’+’,可以展開成四個full adder

  19. nSchema– trace (1/6) • Step2 : 點擊Hierarchy內的資訊,可以對應到netlist裡對應之module • 點擊兩下 fa2 可以自動追蹤至 line:8 (Module)的地方,如下頁所示 此兩步驟可以讓使用者所設計電路中做trace動作

  20. nSchema– trace (2/6) • 藉由追蹤的方法可以輕易了解電路之間的關係,方便使用者debug

  21. nSchema– trace (3/6) • 不只是module的追蹤,在signal之間也可以做追蹤的動作 可以返回上一動作

  22. nSchema– trace (4/6) • Trace \ Load 則可用來追蹤該訊號的所有"loads" 用Show Next 來查看所有的drive loads

  23. nSchema– trace (5/6) • 雙擊工具列上New Schematic icon • 下圖是把A1 放進New Schematic icon的圖示  

  24. nSchema– trace (6/6) • 點擊圖示中的fa2 兩下,可以顯示如下圖之電路連接圖,更進一步清楚netlist 連結情況

  25. Outline • Overview • Design Constraint • nTrace • nSchema • nWave • Watch Waveform

  26. nWave • nWave – 由Verdi 提供使用者觀看波形之工具,由於Verdi是使用FSDB( fast signal database),當使用者提供電路之netlist與 test pattern 時 ,Verdi會dump FSDB file , 提供給 nWave 做為分析波形使用 Figure: Example nWave Window

  27. nWave – read *.fsdb file • 按(nTrace)工具列的 (New Waveform icon) • 讀取verilog_i.fadb file

  28. nWave – chose signal • 將想要看的訊號新增至右方清單

  29. nWave – Window Toolbar full scale ruler waveform window cursor Signal window zoom scale ruler value window Signal cursor

  30. nWave – display wave information • 下圖為four adder之波型圖 • 如果看不到波形,再執行一次 Simulation / Run/Continue

  31. nWave - link nTrace • 啟動Active Annotation功能:(nTrace) Source \ Active Annotation • 在nWave點擊想觀察訊號之觸發情況

  32. nWave –link nTrace • 從Hierarchy browser雙擊fa2(FullAdder),按 Search Forward icon,看看在nTrace與nWave的變化

  33. Outline • Overview • Design Constraint • nTrace • nSchema • nWave • Watch Waveform

  34. Watch Waveform • Verdi: nWave 不單純可以只看數位訊號,某些功率分析軟體可產生出功率時間分布情況,亦可使用在nWave使用 • 下圖為PrimePower分析功率之wave圖

  35. Reference • Verdi User’s Guide and Tutorial • 陳獻文, “HDL Debugging with Debussy”, CIC Training Course ,July 2004.

More Related