1 / 15

Virtual Point-to-Point Connections for NoCs

Virtual Point-to-Point Connections for NoCs. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 6, JUNE 2010. Reporter: Bo-Yi Shiu Date: 2011/05/27. Mehdi Modarressi , Arash Tavakkol , and Hamid Sarbazi -Azad. Outline. Introduction

sona
Download Presentation

Virtual Point-to-Point Connections for NoCs

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Virtual Point-to-Point Connections for NoCs IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 6, JUNE 2010 Reporter: Bo-Yi Shiu Date: 2011/05/27 Mehdi Modarressi, ArashTavakkol, and Hamid Sarbazi-Azad

  2. Outline • Introduction • Proposed NoCArchitecture • Static VIPs • Dynamic VIPs • Experimental Results • Conclusion

  3. Introduction • Among different on-chip communication mechanisms, point-to-point connections where packets travel on dedicated pipelined wires which directly connect their source and destination nodes can yield the ideal performance and power results. • Poor scalability and considerable area overhead are the important drawbacks of dedicated links.

  4. Proposed NoCArchitecture

  5. Static VIPs • Most multicore SoC programs have a small number of communication flows through which each core communicate with a small number of other cores. Moreover, the traffic pattern of such applications is known in advance.

  6. Static VIPs • Physically map the cores of the application into different nodes of a mesh-connected NoC • Establish as many VIP connections as possible for the communication flows of the application. • Direct the flows for which a VIP could not be constructed through packet-switched network, in such a way that the total power consumption and latency of the NoC is minimized.

  7. Static VIPs

  8. Dynamic VIPs • This approach is useful in the cases where it is not possible to know in advance the exact communication pattern of running applications.

  9. Dynamic VIPs

  10. Dynamic VIPs

  11. Experimental Results

  12. Experimental Results

  13. Experimental Results

  14. Experimental Results

  15. Conclusion • In this paper, we presented a packet-switched router architecture that can results in lower power consumption and packet latency by dedicating VIP connections between the source and destination nodes of heavy communication flows.

More Related