1 / 27

Comprehensive VHDL

Comprehensive VHDL. Module 6 Types. November 2000. Types. Aim To understand the use and synthesis of enumeration types, STD_LOGIC and STD_LOGIC_VECTOR Topics covered Enumeration types Type STD_LOGIC and bus resolution Initial values Slices and concatenation Overloading and conversion

Download Presentation

Comprehensive VHDL

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Comprehensive VHDL Module 6 Types November 2000

  2. Types Aim • To understand the use and synthesis of enumeration types, STD_LOGIC and STD_LOGIC_VECTOR Topics covered • Enumeration types • Type STD_LOGIC and bus resolution • Initial values • Slices and concatenation • Overloading and conversion • Standard packages

  3. Enumeration Types • Defining a new data type for a control bus type Opcode is (Add, Neg, Load, Store, Jmp, Halt);signal S: Opcode; S <= Add; process (S)begin case S is when Add => ...

  4. Synthesis of Enumeration Types Synthesis Binary One Hot Add 000 100000 Neg 001 010000 Load 010 001000 Store 011 000100 Jmp 100 000010 Halt 101 000001 ASIC Tools FPGA Tools

  5. Multi-Valued Logic Types In package STD.STANDARD type BOOLEAN is (FALSE, TRUE); type BIT is ('0', '1'); In package IEEE.STD_LOGIC_1164 type STD_ULOGIC is ( 'U', -- Uninitialized (the default) 'X', -- Forcing Unknown '0', -- Forcing 0 '1', -- Forcing 1 'Z', -- High Impedance 'W', -- Weak Unknown 'L', -- Weak 0 'H', -- Weak 1 '-'); -- Don't care (for synthesis) subtype STD_LOGIC is RESOLVED STD_ULOGIC; For resolving bus conflicts

  6. Logical Operators Logical operators Defined on types and nand or nor xor not BOOLEAN BIT BIT_VECTOR STD_LOGIC STD_LOGIC_VECTOR xnor VHDL 93

  7. Driver Tristate1,BUSS Driver Tristate2,BUSS Tristate Drivers subtype STD_LOGIC is RESOLVED STD_ULOGIC; signal BUSS, ENB1, ENB2, D1, D2: STD_LOGIC;... TRISTATE1: process (ENB1, D1)begin if ENB1 = '1' then BUSS <= D1; else BUSS <= 'Z'; end if;end process;TRISTATE2: process (ENB2, D2)begin if ENB2 = '1' then BUSS <= D2; else BUSS <= 'Z'; end if;end process; Resolution BUSS Function

  8. 'U' 'X' '0' ‘ - ’ '1' 'W' 'L' 'H' 'Z' STD_LOGIC Bus Resolution • Strength of STD_LOGIC values is defined in package STD_LOGIC_1164 • Values higher in the lattice are stronger

  9. Initial Values • Signals and variables are initialized at the start of simulation • Default value is the leftmost value of the type • Synthesis ignores initial values type Opcode is (Add, Neg, Load, Store, Jmp, Halt); signal S: Opcode; signal CLOCK, RESET: STD_LOGIC; variable V1: STD_LOGIC_VECTOR(0 to 1); variable V2: STD_LOGIC_VECTOR(0 to 1) := "01"; signal N: Opcode := Halt; constant SIZE: INTEGER := 16; constant ZERO: STD_LOGIC_VECTOR := "0000"; Initial value Add Initial value 'U' Initial value "UU"

  10. Slice Names variable V: STD_LOGIC_VECTOR(0 to 7);variable F: STD_LOGIC_VECTOR(3 downto 0); F := V(2 to 5) F(1 downto 0) := "11"; V(0 to 1) := F(3 downto 2);

  11. Concatenation • Concatenation operator “&” signal A, B: STD_LOGIC_VECTOR(7 downto 0); signal F : STD_LOGIC_VECTOR(15 downto 0); F <= A & B; 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0 A & B 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 F

  12. Shifting Logical shift left: signal REG: STD_LOGIC_VECTOR(N-1 downto 0);REG <= REG(N-2 downto 0) & '0'; 3 2 1 0 N-1 N-2 REG before 3 2 1 0 N-1 N-2 REG after 0

  13. Operator Overloading library IEEE;use IEEE.STD_LOGIC_1164.all;entity ADDER is port (A, B: in STD_LOGIC_VECTOR(7 downto 0); SUM : out STD_LOGIC_VECTOR(7 downto 0));end;architecture A1 of ADDER isbegin SUM <= A + B; end; Error: "+" is not defined for type STD_LOGIC_VECTOR

  14. Standard Packages Package STD.STANDARD Package IEEEE.STD_LOGIC_1164 Types Types BOOLEAN BIT BIT_VECTOR INTEGER REAL TIME STRING CHARACTER STD_LOGIC STD_LOGIC_VECTOR Implicit operators Operators and nand or nor xor not = /= > >= < <=

  15. Implicit Relational Operators • Beware: for type STD_LOGIC_VECTOR • Beware: for type STD_LOGIC 'U' < 'X' < '0' < '1' < 'Z' < 'W' < 'L' < 'H' < '-' "0" < "00" < "000" < "001" < "100" < "111" < "1111"

  16. Using NUMERIC_STD library IEEE;use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all;entity ADDER is port (A, B: in UNSIGNED(7 downto 0); SUM : out UNSIGNED(7 downto 0));end;architecture A1 of ADDER isbegin SUM <= A + B; end; IEEE Std 1076.3

  17. Conversion Functions library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; signal U: UNSIGNED(7 downto 0);signal S: SIGNED(7 downto 0); signal N: INTEGER; N <= TO_INTEGER(U); N <= TO_INTEGER(S);U <= TO_UNSIGNED(N, 8); S <= TO_SIGNED(N, 8);

  18. Array Type Conversion signal U: UNSIGNED(7 downto 0);signal S: SIGNED(7 downto 0);signal V: STD_LOGIC_VECTOR(7 downto 0); • Type Conversions between closely related types Closely related types U <= UNSIGNED(S); S <= SIGNED(U); U <= UNSIGNED(V); S <= SIGNED(V); V <= STD_LOGIC_VECTOR(U); V <= STD_LOGIC_VECTOR(S); Type name used for type conversion

  19. Numeric_std and Std_logic_vector library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; signal V: STD_LOGIC_VECTOR(7 downto 0);signal N: INTEGER; Conversion function Type conversion N <= TO_INTEGER(UNSIGNED(V));V <= STD_LOGIC_VECTOR(TO_UNSIGNED(N, 8)); Type conversion Conversion function V <= STD_LOGIC_VECTOR(SIGNED(V) + 1);

  20. Summary of NUMERIC_STD + - * / rem mod < <= > >= = /= UNSIGNED x UNSIGNED UNSIGNED x NATURAL NATURAL x UNSIGNED SIGNED x SIGNED SIGNED x INTEGER INTEGER x SIGNED sll srl rol ror UNSIGNED x INTEGER SIGNED x INTEGER not and or nand nor xor xnor UNSIGNED x UNSIGNED SIGNED x SIGNED TO_INTEGER [UNSIGNED ] return INTEGER TO_INTEGER [SIGNED ] return INTEGER TO_UNSIGNED [NATURAL, NATURAL] return UNSIGNED TO_SIGNED [INTEGER, NATURAL] return SIGNED RESIZE [UNSIGNED, NATURAL] return UNSIGNED RESIZE [SIGNED, NATURAL] return SIGNED Signatures (VHDL 93)

  21. Shift and Rotate Operators BIT_VECTOR SIGNED UNSIGNED VHDL 93 sll srl sla sra rol ror sll srl rol ror signal S: SIGNED; S <= S srl 1; Shift right one place and sign extend

  22. Quiz 1 Fill in the box library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity Adder is port (A : in STD_LOGIC_VECTOR(7 downto 0); B : in INTEGER; C : in SIGNED(7 downto 0); SUM: out SIGNED(7 downto 0));end; architecture Arch of Adder is begin SUM <= ;end;

  23. Quiz 1 Solution library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity Adder is port (A : in STD_LOGIC_VECTOR(7 downto 0); B : in INTEGER; C : in SIGNED(7 downto 0); SUM: out SIGNED(7 downto 0));end; architecture Arch of Adder is begin SUM <= ;end; SIGNED(A) + B + C;

  24. Quiz 2 Fill in the box library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.NUMERIC_STD.all; entity Mux8to1 is port (Address: in Std_logic_vector(2 downto 0); IP: in Std_logic_vector(7 downto 0); OP: out Std_logic);end;architecture Arch of Mux8to1 isbegin OP <= IP( (Address) );end;

  25. Quiz 2 Solution library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.NUMERIC_STD.all; entity Mux8to1 is port (Address: in Std_logic_vector(2 downto 0); IP: in Std_logic_vector(7 downto 0); OP: out Std_logic);end;architecture Arch of Mux8to1 isbegin OP <= IP( (Address) );end; TO_INTEGER(UNSIGNED )));

  26. Package STD_LOGIC_UNSIGNED/SIGNED library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_UNSIGNED.all;-- use IEEE.STD_LOGIC_SIGNED.all; Don't use both + - STD_LOGIC_VECTOR STD_ULOGIC INTEGER * STD_LOGIC_VECTOR < <= > >= = /= STD_LOGIC_VECTOR INTEGER CONV_INTEGER[STD_LOGIC_VECTOR] return INTEGER

  27. Package STD_LOGIC_ARITH library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_ARITH.all; + - UNSIGNED SIGNED STD_ULOGIC INTEGER * UNSIGNED SIGNED < <= > >= = /= UNSIGNED SIGNED INTEGER CONV_INTEGER [INTEGER/UNSIGNED/SIGNED/STD_ULOGIC] return INTEGER CONV_UNSIGNED[INTEGER/UNSIGNED/SIGNED/STD_ULOGIC , INTEGER] return UNSIGNED CONV_SIGNED [INTEGER/UNSIGNED/SIGNED/STD_ULOGIC , INTEGER] return SIGNED CONV_STD_LOGIC_VECTOR[INTEGER/UNSIGNED/SIGNED/STD_ULOGIC , INTEGER] return STD_LOGIC_VECTOR EXT[STD_LOGIC_VECTOR , INTEGER] return STD_LOGIC_VECTOR SXT[STD_LOGIC_VECTOR , INTEGER] return STD_LOGIC_VECTOR

More Related