1 / 8

Introduction

BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP Minsik Cho and David Z. Pan ECE Dept. Univ. of Texas at Austin DAC 2006, July 24-28. Global Routing – plans approximate route of each net to reduce complexity of detailed router

olga-sharpe
Download Presentation

Introduction

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. BoxRouter: A New Global Router Based on Box Expansion and Progressive ILPMinsik Cho and David Z. PanECE Dept. Univ. of Texas at AustinDAC 2006, July 24-28

  2. Global Routing – plans approximate route of each net to reduce complexity of detailed router Goal: Optimize wire density during global routing Improve manufacturability Potential to feedback interconnect information Introduction

  3. PreRouting captures congested areas BoxRouting starts in most congested area and expands box to cover entire chip Progressive integer linear programming (ILP) technique to route wires in box Maze routing algorithm for rest of wires PostRouting reroutes wires without rip-up Parameter controls trade-off between length and routability Steps

  4. Route as many wires inside box as possible with ILP Maze routing algorithm if ILP fails BoxRouter

  5. Algorithm

  6. Steps 2) Box around congested area 3) ILP routing between G-cells 1) PreRouting – Identify congested area 6) Repeat 4) Maze routing 5) Expand box

  7. Start from congested area Reroute wires to reduce length (if possible) Reroute surrounding wires Repeat Parameter controls cost function Wirelength vs. routability PostRouting

  8. Larger box expansion can improve results at a cost of runtime Compared to Labyrinth Reduce wirelength by 14.3% Reduce overflow by 91.7% Compared to Fengshui Reduce overflow by 79% Compared to multicommodity flow-based router 15.7x faster 4.2% shorter wirelength Experimental Results

More Related