1 / 17

实例一 QUARTUS II 8 位加法器的设计

实例一 QUARTUS II 8 位加法器的设计. 八位加法器设计. 在设计之前,我们先来熟悉一下怎样在 Quartus II 环境下怎样建立工程。 *首先建立工作库目录,以便存储工程项目设计文件。 *在建立了文件夹后就可以将设计文件通过 Quartus II 的文本编辑器编辑并存盘,步骤如下: ( 1 )新建一个文件夹。首先可以利用 Windows 资源管理器,新建一个文件夹。这里本项设计的文件夹取名为 ADDER8B ,在 D 盘中,路径为 d:DXB 。. 八位加法器设计.

molly
Download Presentation

实例一 QUARTUS II 8 位加法器的设计

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 实例一QUARTUS II8位加法器的设计

  2. 八位加法器设计 在设计之前,我们先来熟悉一下怎样在Quartus II环境下怎样建立工程。 *首先建立工作库目录,以便存储工程项目设计文件。 *在建立了文件夹后就可以将设计文件通过 Quartus II 的文本编辑器编辑并存盘,步骤如下: (1)新建一个文件夹。首先可以利用Windows 资源管理器,新建一个文件夹。这里本项设计的文件夹取名为ADDER8B,在D 盘中,路径为d:\DXB。

  3. 八位加法器设计 (2)输入源程序。打开QuartusII,选择菜单File→New。在New 窗口中的Device Design Files 中选择编译文件的语言类型,这里选择“VHDL File”(如图1-1 所示)。然后在VHDL 文本编译窗中输入8位加法器的源程序。 (3)文件存盘。选择File→Save As 命令,找到已设立的文件夹d:\DXB,存盘文件名应该与实体名一致,即DXB.vhd。当出现问句“Do you want to create… ”时,单击“是”按钮,则直接进入创建工程流程。 注意

  4. 八位加法器设计: 图1-1

  5. 创建工程 (1)打开建立新工程管理窗。选择菜单File→New Preject Wizard 命令,即弹出“工程设置”对话框 单击此对话框最上一栏右侧的“… ”按钮,找到文件夹d:\cnt10b,选中已存盘的文件cnt10.vhd(一般应该设顶层设计文件为工程),再单击“打开”按钮,即出现如图4-2 所示的设置情况。其中第一行的d:\cnt10b 表示工程所在的工作库文件夹;第二行的cnt10 表示此项工程的工程名,工程名可以取任何其他的名,也可直接用顶层文件的实体名作为工程名,在此就是按这种方式取的名;第三行是当前工程顶层文件的实体名,这里即为cnt10。

  6. 创建工程

  7. 创建工程 (2)将设计文件加入工程中。单击下方的Next 按钮,在弹出的对话框中单击File 栏的按钮,将与工程相关的所有VHDL 文件(如果有的话)加入进此工程,即得到如图所示的情况。此工程文件加入的方法有两种:第 1 种是单击Add All 按钮,将设定的工程目录中的所有VHDL 文件加入到工程文件栏中;第2 种方法是单击“Add … ”按钮,从工程目录中选出相关的VHDL 文件。

  8. 创建工程

  9. 设计思路 并行进位 多位加法器的构成方式 串行进位 *设有进位产生逻辑 *运算速度快 *占用更多资源 *将全加器级联而成 *运算速度较慢 *占用资源较少

  10. 4位二进制并行加法器和串行级联加法器所占空间相当,考虑到时间和空间问题,本设计中的8位二进制加法器由两个4位二进制并行加法器级联而成。4位二进制并行加法器和串行级联加法器所占空间相当,考虑到时间和空间问题,本设计中的8位二进制加法器由两个4位二进制并行加法器级联而成。 • 首先,我们来分析4位并行加法器的原理图和源程序代码:

  11. 4位加法器原理图 低位进位 和 溢出进位 加数 被加数

  12. 4位二进制并行加法器的源程序ADDER4B.VHD 注意:文件名取为实体名,扩展名为.vhd 库文件的使用 定义输入端口 定义输出端口 定义一个名字为ART的结构体 因为两个4位二进制相加,可能产生进位。所以必须将4位加数和被加数扩充为5位,为进位提供空间 实体:描述了各信号端口的性质 C4:低位来的进位(输入端口) A4:4位加数(输入端口) B4:4位被加数(输入端口) S4:4位和(输出端口) CO:4位输出(输出端口)

  13. 了解完4位二进制并行加法器的工作原理之后,我们再来分析8位二进制加法器就容易很多了。基本的逻辑框架都差不多,只是创建的结构体内要对原件ADDER4B进行调用。了解完4位二进制并行加法器的工作原理之后,我们再来分析8位二进制加法器就容易很多了。基本的逻辑框架都差不多,只是创建的结构体内要对原件ADDER4B进行调用。 • 下面我们来详细的分析如何将两个4位二进制并行加法器级联而成8位二进制加法器。

  14. 4位加法器级联成8位加法器 进位 8位和 8位加数 溢出进位 8位被加数

  15. 8位二进制加法器的源程序ADDER8B.VHD 类似于4位二进制加法器,8位二进制也要定义一个实体,名为ADDER8B 对调用的元件ADDER4B的界面端口进行定义 安装一个4位二进制加法器U1 安装另外一个4位二进制加法器U2

  16. 8位二进制加法器可以由两个4位二进制加法器级联而成,由此,我们也可以类似的设计16位二进制加法器、32位二进制加法器。只要将已有的元件级联在一起就行了。8位二进制加法器可以由两个4位二进制加法器级联而成,由此,我们也可以类似的设计16位二进制加法器、32位二进制加法器。只要将已有的元件级联在一起就行了。

More Related