1 / 70

Constraint-Based Watermarking Techniques for Design IP Protection

Constraint-Based Watermarking Techniques for Design IP Protection. Presented by : Yaniv Sabo. Introduction. The advance of processing technology has led to a rapid increase in design complexity. Digital system designs are the product of valuable effort and know-how.

lizina
Download Presentation

Constraint-Based Watermarking Techniques for Design IP Protection

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Constraint-Based Watermarking Techniques for Design IP Protection Presented by : Yaniv Sabo

  2. Introduction • The advance of processing technology has led to a rapid increase in design complexity. • Digital system designs are the product of valuable effort and know-how. • Their embodiments represent carefully guarded intellectual property (IP).

  3. Introduction • Embracing reuse-based design methodologies. • IP reuse requires new mechanisms to protect the rights of IP producers and owners.

  4. Watermarking IP design watermark An invisible identification code that is: • Nearly invisible to human and machine inspection. • Difficult to remove. • permanently embedded as an integral part within a design.

  5. watermarking • A number of techniques have been proposed for data hiding in image, video, text, and audio data. • The majority of these exploit imperfections of the human visual and auditory systems.

  6. watermarking • The artifact is changed, but the human senses cannot perceive the change. • When discussing Design IP, the watermarked IP must remain functionally correct.

  7. Watermarking Designs • Maintenance of functional correctness. • Transparency to existing design flows. • Minimal overhead cost. • Enforceability.

  8. Watermarking principles • Flexibility in providing a spectrum of protection levels. • Persistence. • Invisibility. • Proportional component protection.

  9. Strategy for Constraint-Based IPP • mapping an author’s signature into a set of constraints. • If disproportionately many of these constraints are satisfied, the presence of the signature is indicated. • Choosing the type of constraints and the tactic can dramatically affect the strength of the watermark.

  10. Selection of Constraints • Watermarked solutions must not be inferior to average solutions. Otherwise, such a watermark will be too costly to use.

  11. A Motivating Example – 3SAT • SAT - a classical NP-complete constraint-satisfaction problem. • Instance: A finite set of variables U and a collection C = {C1,C2,…,Cm} of clauses over U. • Question:Is there a truth assignment U that satisfies all the clauses in C ?

  12. A Motivating Example – 3SAT For example U = {U1,U2} and C ={{U1,U2},{U1},{U1,U2}} A satisfying truth assignment is: t(U1)=F and t(2)=T.

  13. A Motivating Example – 3SAT On the other hand, if we have the collection C = {{U1,U2},{U1,U2},{U1}}, the answer is negative.

  14. A Motivating Example – 3SAT • Problems from many application domains have been modeled as SAT instances. • In VLSI, SAT formulations have been used in testing, logic synthesis, and physical design .

  15. Watermarking of SAT solution • Assume the 3SAT restriction of the problem, where each clause has exactly three variables. • Consider the following 3SAT instance:

  16. Watermarking of SAT solution Our goal is to alter the given 3SAT instance such that: • Any satisfying assignment to the modified instance is a solution to the original instance. • both the modified instance and the solution contain a unique identification of the author.

  17. Watermarking of SAT solution • The given 3SAT instance has 556 different satisfying assignments. • The likelihood of someone else generating such a solution by chance is 2 to 556, or 0.00496.

  18. Nonintrusive Watermarking Methods that can be transparently integrated within existing design flows via preprocessing or postprocessing.

  19. Nonintrusive Watermarking The context for a nonintrusive watermarking procedure: • An optimization problem with known difficult complexity. • A well-defined interpretation of the solutions of the optimization problem as IP.

  20. Nonintrusive Watermarking • Existing algorithmsand/or off-the-shelf software that solve the optimization problem. 4. Protection requirements: • removing or forging a watermark must be as hard as recreating the design. • tampering with a watermark must be provable in court.

  21. “Alice and Bob” scenarios • Alice uses watermarking to protect some IP. • Bob will attempt to subvert her protection.

  22. “Alice and Bob” scenario 1 Generic Watermarking Procedure • Alice wishes to protect some IP that involves many stages of processing. • Alice watermarks each stage by selecting a set of “constraints”.

  23. Proof of Authorship • A watermark’s proof of authorship is expressed as a single value – Pc. • We wish this probability to be convincingly low. • When we cannot compute Pc exactly, it is acceptable to overestimate it.

  24. Proof of Authorship • ‘p’ - probability of satisfying a single random constraint by coincidence. • ‘c’ - number of imposed constraints. • ‘b’ - number of these constraints that were not satisfied. • ‘x’ - a random variable that represents how many of the ‘c’ constraints were not satisfied.

  25. Proof of Authorship Pc can be computed as a sum of binomials:

  26. “Alice and Bob” scenario 2 Generic Signature Verification Procedure • Alice’s solution must satisfy a disproportionate number of her watermarking constraints. • By calculating Pc - Alice can verify that her signature is present. • A strong proof of authorship corresponds to a low value for Pc.

  27. Typical Attacks Attack - Finding Ghosts: • Bob wishes to steal IP from Alice and claim it as his own. • Bob will claim that the IP also contains his own watermark.

  28. Typical Attacks Attack - Finding Ghosts: Bob has only two approaches: • Choose a set of constraints and than attempt to find a signature that corresponds to this set. • Try a brute-force approach to find a signature that corresponds to a set of constraints that yields a convincing proof of authorship - Pc.

  29. Typical Attacks Attack – Tampering: • If Bob cannot find a convincing ghost signature, he may decide to tamper with Alice’s solution. • Bob can do this by simply resolving the problem from scratch with his own watermark encoded.

  30. Typical Attacks Attack – Tampering: • Nothing can be done to stop this directly. • However, in realistic scenarios, Bob cannot afford to redo all the phases of the design process.

  31. Typical Attacks Attack – Forging: • Bob may attempt to subvert Alice’s watermark by inappropriately watermarking other solutions with Alice’s watermark. • In other words, Bob wishes to forge Alice’s signature.

  32. Typical Attacks Attack – Forging: • Bob needs a signature that he can convince others belongs to Alice. • However, such attacks can be easily prevented by using a public key encryption system.

  33. Cryptography • Since 1976, cryptographic techniques has evolved, resulting in a variety of digital signature mechanisms. • Several cryptographic techniques are directly relevant to our design watermarking approach.

  34. Cryptography • Cryptography tools are used for generating a set of physical design constraints. • The use of cryptographic techniques ensures cryptographically strong hiding and decorrelation of the added signature constraints.

  35. Cryptography The tools which are used for these two tasks: • cryptographic hash function MD5. • public-key cryptosystem RSA. • stream cipher RC4 on which many of today’s state-of-the-art cryptographic commercial programs are based.

  36. Evaluation of watermarking techniques • Performed using placement and routing applications. • For placement - A postprocessing flow that encodes a signature as specified parity of the cell row. • For routing - A preprocessing flow that encodes a signature as upper bounds on the wrong-way wiring.

  37. Evaluation of watermarking techniques • Both placement and routing watermarking techniques are tamper-resistant. • Addressing IP protection at a lower level of abstraction allows significantly stronger proofs of authorship and lower overhead.

  38. Evaluation of watermarking techniques The postprocessing approach is attractive for several reasons: • It enables watermarking of already existing designs. • It enables direct calculation of the hardware overhead incurred by IPP. • it may be likelier to find acceptance among designers and managers.

  39. IPP in system-level and physical design • System-level and physical design are traditionally viewed as “difficult” domains. • Even a small percentage variation in solution quality can make or break a design.

  40. IPP in system-level and physical design • Many performance constraints cannot be considered satisfied until they are satisfied in the physical design. (For example, constraining timing budgets). • physical design is an appropriate juncture in the design cycle for watermarking.

  41. Related Physical Design Techniques • Constraint specification receive close attention through all phases of chip implementation, including physical design. • Derived constraints will then arise throughout the register transfer level (RTL) floorplanning, block placement, and routing phases.

  42. Related Physical Design Techniques The implications for watermarking in physical design are that: • current tools do not easily support too many “extra” watermarking constraints. • introduction of too many watermarking constraints will likely degrade solution quality.

  43. IP Watermarking Examples Preprocessing-Based Watermarking Applied to System-Level Design Steps: • Allocating minimal cache structures and optimizing code for effective cache utilization. • The problem of minimizing cache misses is equivalent to finding a solution to graph coloring.

  44. IP Watermarking Examples Preprocessing-Based Watermarking Applied to System-Level Design Steps: • Adding edges to the graph according to some encrypted signature of the author. • The signature will be embedded in the activation path which transfers data between two levels of hierarchy.

  45. IP Watermarking Examples Postprocessing in Physical-Level FPGA Design: • Manipulating unused portions of the configuration bitstream. • The watermark is inserted into the control bits for unused outputs from configurable logic blocks (CLBs).

  46. IP Watermarking Examples Postprocessing in Physical-Level FPGA Design: • This approach can be implemented through preprocessing, iterative, or post processing techniques. • The disadvantage of this approach is that the watermark is not embedded in the functional part of the design.

  47. The Xilinx 4000 family Of FPGAs IP Watermarking Examples Postprocessing in Physical-Level FPGA Design:

  48. IP Watermarking Examples Postprocessing in Physical-Level FPGA Design: • The number of configuration bits associated with a multiplexer is equal to the number of required control bits. • one and two watermark bits can be inserted at each unused two-to-one and four-to-one multiplexer, respectively.

  49. IP Watermarking Examples Postprocessing in Physical-Level FPGA Design: The numbers calculated here are for an even number of unused combinatorial and sequential outputs.

  50. IP Watermarking Examples Postprocessing in Physical-Level FPGA Design: • The process in this approach: • is an entirely postprocessing step. • requires very little added design effort. • can store large watermarks. • allows for easy mark extraction. • has no overhead.

More Related