1 / 40

บทที่5

การเข้ารหัสและถอดรหัส ( Encodes and Decoder). บทที่5. กล่าวนำ.

Download Presentation

บทที่5

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. การเข้ารหัสและถอดรหัส (Encodes and Decoder) บทที่5

  2. กล่าวนำ การเข้ารหัส (Encoder) ในเรื่องของวงจร Logic หมายความว่า การเปลี่ยนระดับของ Logic จากสวิทช์มาเป็นสัญญาณ Logic ตามรหัสที่ต้องการ เช่น มีสวิทช์ 10 ตัว กดสวิทช์เลขหมายใด ก็ให้ Output ของวงจรเป็นสัญญาณ Logic ของรหัส Binary เป็นต้น

  3. ซึ่ง Output ของวงจรเป็นรหัสอะไรก็ขึ้นอยู่กับการออกแบบ ในทำนองเดียวกันการถอดรหัส (Decoder) หมายถึง วงจรเปลี่ยนรหัสทาง Input เพื่อเป็นรหัสอื่นตามต้องการ เช่น เปลี่ยนรหัส BCD เป็นแรงดันของเลขฐานสิบเป็นต้น วงจรเข้า-ถอดรหัสสามารถสร้างขึ้นมาจาก Diode ซึ่งต่อกันแบบ Matrix หรือ จากวงจร Gate Combination ก็ได้ ซึ่งทั้งสองชนิดขึ้นอยู่กับความเหมาะสมของผู้ใช้ในแง่ของขนาด ความยุ่งยาก ราคา ฯลฯ

  4. 5.2 การออกแบบวงจรเข้ารหัส เราอาจสร้างจรเข้ารหัสได้ Diode Matrix หรือวงจร Gate Combination ในตัวอย่างนี้จะแสดงวิธีการสร้างวงจรเข้ารหัสจากสวิทช์ เพื่อให้ได้ Output เป็น Octal Code

  5. รูปที่ 5.1 Matrix 8 x 4

  6. รูปที่ 5.1 เป็นการสร้างวงจร Diode Matrix โดยการเปลี่ยนสัญญาณ Logic จากสวิทช์ 8 ตัว เพื่อให้ได้ Output เป็น Octal Code ซึ่งต้องมี 3 Output คือ Output 22, 21, และ 20 นอกจากนี้ยังมีอีก 1 Output เพื่อใช้แสดงการกดเลขหมายเรียกว่า OutputStrobe (ST) ส่วน Input ต้องมี 8 เส้น เท่ากับจำนวนของสวิทช์ นั่นคือ เราสามารถเขียนเส้น Matrix ได้เป็น 8 x 4

  7. เมื่อได้เส้น Matrix แล้วก็ต่อสวิทช์เข้ากับเส้น Matrix ปลายอีกด้านหนึ่งของสวิทช์ต่อร่วมกับ +Vcc สำหรับด้าน Output 4 เส้น ต่อปลายเข้ากับความต้านทาน ส่วนปลายของความต้านทานต่อลง Ground ต่อไปให้พิจารณา Output Strobe (ST) ซึ่งจะเป็น Logic 1 ทุกครั้งที่สวิทช์ Input ใด Input หนึ่งถูกกด นั่นคือ เป็นลักษณะการ OR ของ Diode โดย Cathode ต่อร่วมกับขา ST ส่วนด้าน Anode ก็ต่อเข้ากับสวิทช์แต่ละตัว (ดังรูปที่ 5.1)

  8. เมื่อพิจารณาการทำงานของวงจร โดยการสมมุติว่า สวิทช์ตัวใดตัวหนึ่งถูกกด แล้วจะได้ Output ST เป็น Logic 1 จริงหรือไม่ เช่น สมมุติว่าสวิทช์หมายเลข 4 ถูกกดกระแสจาก +Vcc ก็จะไหลผ่าน Diode ไปตกคร่อม R เป็น Output ST โดยที่กระแสดังกล่าวไม่สามารถไหลย้อนผ่านไปยัง Diode ตัวอื่นได้เลย ตามรูปที่ 5.2 ให้พิจารณาว่า รหัสทางด้าน Output เป็นอย่างไร ถ้าสวิทช์ถูกกด เช่น ถ้ากดสวิทช์หมายเลข 5 จะได้ Output 222120 = 101 เราก็ใส่ Diode ที่เส้นสวิทช์ 5 กับเส้น Output 22 และที่เส้นสวิทช์ 5 กับเส้น Output 22 เป็นต้น

  9. รูปที่ 5.2 วงจรเข้ารหัส

  10. ในกรณีที่ใช้วงจร Logic เราเขียน Function ได้ดังนี้ST = สวิทช์ 0 + สวิทช์ 1 + สวิทช์ 2 + สวิทช์ 3 + สวิทช์ 4 +สวิทช์ 5 + สวิทช์ 6 + สวิทช์ 7 20 = สวิทช์ 1 + สวิทช์ 3 + สวิทช์ 5 + สวิทช์ 7 21 = สวิทช์ 2 + สวิทช์ 3 + สวิทช์ 6 + สวิทช์ 7 22 = สวิทช์ 4 + สวิทช์ 5 + สวิทช์ 6 + สวิทช์ 7

  11. รูปที่ 5.3

  12. จากรูปที่ 5.3 ความต้าน (R) ที่ต่อจากสวิทช์ลง Ground นั้น ช่วยให้ Input เป็น Logic 0 ขณะยังไม่ได้กดสวิทช์ เนื่องจากวงจร Input จะปล่อยลอยไม่ได้ เมื่อพิจารณาวงจรตามรูปที่ 5.2 และ 5.3 จะเห็นว่า วงจรตามรูปที่ 5.2 คือ ใช้ Diode จะมีราคาถูกกว่าวงจรที่ใช้ Gate เนื่องจากราคาของ Diode ถูกกว่าราคาของ OR Gate ชนิดหลาย Input และเมื่อประกอบเป็นวงจรแล้ว ความยุ่งยากก็น้อยกว่าด้วย

  13. ตัวอย่าง 5.1 จงออกแบบวงจรเข้ารหัสจากสวิทช์ 10 ตัว (0 – 9 )ที่ให้ Output เป็นรหัส Gray โดยใช้วงจร Diode และ วงจร Gate Combination ตารางที่ 5.1 Truth Table ของรหัส Gray

  14. วิธีทำ เขียน Truth Table ของรหัส Gray (ตามตารางที่ 5.1) จากนั้นสร้าง Matrix โดยถือว่า Bit ใดเป็น Logic 1 Bit นั้นก็จะมี Diode ต่ออยู่ ดังแสดงในรูปที่ 5.4 รูปที่ 5.4 DiodeMatrix สำหรับเข้ารหัส Gray

  15. สำหรับการออกแบบวงจร Gate Combination ของรหัส Gray ก็ให้เขียน Function จาก Truth Table ของรหัส Gray โดยพิจารณาจาก Output ที่เป็น Logic 1 ซึ่งเกิดขึ้นมาจากการ OR ของสวิทช์นั้น ๆ เช่น Output D เป็น Logic 1 เมื่อกดสวิทช์ S8 หรือ S9 นั่นคือ D = S8 + S9 เป็นต้น เราจึงเขียน Function ของ output ได้ดังนี้ ST = S1 + S2 + S3 + S4 + S5 + S6 + S7 + S8 + S9 D = S8 + S9 C = S4 + S5 + S6 + S7 + S8 + S9 B = S2 + S3 + S4 + S5 A = S1 + S2 + S5 + S6+ S9

  16. เมื่อได้ Function ของ Output แล้วก็สามารถเขียน วงจร Logic จาก Function ได้ดังนี้ รูปที่ 5.5 วงจร Gate Combination สำหรับเข้ารหัส Gray

  17. 5.3 วงจรถอดรหัส เมื่อมีวงจรเข้ารหัสก็ต้องมีวงจรถอดรหัส ซึ่งวงจรถอดรหัสจะเป็นวงจรรับระดับสัญญาณ Logic ในรูปของรหัสใด ๆ แล้วแปลงอกมาเป็นเลขฐานสซึ่งทำได้ทั้งจาก วงจร DiodeMatrix และ Gate Combination ดังตัวอย่างต่อไปนี้

  18. ตัวอย่างที่ 5.2 จงออกแบบวงจรถอดรหัส จากรหัส BCD-8421 เป็นเลขฐานสิบ วิธีทำ รูปที่ 5.6 Block Diagram ของการถอดรหัส จากรหัส BCD-8421 เป็นเลขฐานสิบ

  19. เราสามารถเขียน Truth Table ได้ดังนี้ ตารางที่ 5.2 Truth Table ของ BCD-8421 to Decimal Decoder

  20. จากตารางที่ 5.2 จะเห็นว่า Input คือรหัส BCD-8421 จะให้ Output เป็น Logic 1 เพียง Output เดียวจาก Outputทั้งหมด 10 ตัว เราสามารถเขียน Function ของแต่ละ Output ได้ดังนี้ F0 (D,C,B,A) = D C B A F1(D,C,B,A) = D C B A F2 (D,C,B,A) = D C B A F3(D,C,B,A) = D C B A F4(D,C,B,A) = D C B A F5(D,C,B,A) = D C B A F6(D,C,B,A) = D C B A F7(D,C,B,A) = D C B A F8(D,C,B,A) = D C B A F9(D,C,B,A) = D C B A

  21. จาก Function ของ Output ที่ได้ สามารถเขียนเป็น วงจร Gate Combination ได้ดังนี้ รูปที่ 5.7 วงจร Gate Combination สำหรับถอดรหัสจากรหัส BCD-8421 เป็นเลขฐานสิบ

  22. ตามรูปที่ 5.6 ถ้านำเอา LED มาต่อที่ Output ดังรูปที่ 5.8 LED จะสว่างเฉพาะ Output ใด Output หนึ่ง ตามรหัส BCD-8421 ของ Output รูปที่ 5.8 การต่อ Output ด้วย LED

  23. หากต้องการแสดงผลออกมาในรูปของ LED 7 ส่วน (7 Segment) ซึ่งจะทำให้อ่านการแสดงผลได้ง่าย LED 7 ส่วน แสดงตามรูปที่ 5.9 รูปที่ 5.9 แสดงการเปล่งแสง และชื่อของชิ้นส่วน 7 ชิ้นส่วน

  24. เราสามารถนำ Output ของวงจรถอดรหัส ไปทำให้ LED แต่ละชิ้นส่วนติดสว่างตามรหัสของ Input เช่น ถ้าป้อนรหัส BCD เป็น 0000 LED ชิ้นที่ a, b, c, d, e, f ต้องสว่าง ส่วนชิ้น g ดับ นั่นคือ ทำให้มองเห็นเป็นเลข 0 ในทำนองเดียวกันถ้าป้อนรหัส BCD เป็น 0110 LED ชิ้นที่ a, b, c, d, e, f และ g ติดสว่าง ส่วนชิ้น b ดับ ทำให้มองเห็นเป็นเลข 6 เป็นต้น สำหรับ Block Diagram และ Truth Table ของวงจรถอดรหัส BCD-8421 เป็น 7 Segment แสดงตามรูปที่ 5.10 และตารางที่ 5.3 ตามลำดับ

  25. รูปที่ 5.10 Block Diagram ของ BCD-8421 to 7 Segment Display Decoder

  26. ตารางที่ 5.3 Truth Table ของ BCD-8421 to 7 Segment Display Decoder

  27. ข้อสังเกตLED ชนิด 7 ชิ้นส่วนนี้ ผู้ผลิตจะวางเรียง LED จัดเป็นแนวที่แสดงตามรูปที่ 5.9 การต่อสายออกจาก LED ต้องมีขา LED แต่ละชิ้นต่อร่วมกันขาหนึ่ง ซึ่งสามารถต่อร่วมกันแบบ Common Anode หรือ Common Cathode การต่อแบบ Common Anode ขาร่วมจะต้องต่อกับ +Vcc และ สัญญาณที่จะทำให้ LED ชิ้นส่วนที่ต้องการเปล่งแสง ต้องเป็น Logic 0 สำหรับการต่อแบบ Common Cathode ขาร่วมต้องต่อลง Ground และสัญญาณที่จะทำให้ LED ชิ้นส่วนใดเปล่งแสงต้องเป็น Logic 1 ดังแสดงในรูป 5.11

  28. รูปที่ 5.11 แสดงการต่อ LED แบบ Common Cathode และ Common Anode

  29. วงจรถอดรหัสที่กล่าวมาแล้วเป็นแนวทางในการศึกษาโครงสร้างและรายละเอียด ตลอดจนแนวความคิดและการออกแบบ เพื่อให้เข้าใจการทำงานของวงจร ซึ่งในทางปฏิบัติแล้วบริษัทผู้ผลิตจะผลิตเป็น IC Chip เพียง Chip เดียว เช่น ถ้าเป็น BCD to Decimal Decoder ก็จะเลือกใช้ IC เบอร์ 7441, 7442, หรือ 7445 ถ้าเป็น Excess-3 to Decimal Decoder ก็เลือกใช้ IC เบอร์ 7443 หรือ 7444 ถ้าเป็น BCD to 7 Segment Decoder ก็จะเลือกใช้ IC เบอร์ 7446, 7447, 7448, 7449 เป็นต้น

  30. 5.4 วงจร Multiplex ในกรณีที่ต้องการส่งข้อมูลทาง Digital หลายข้อมูลไปในสายส่งเดียวกัน ก็อาจทำได้โดยใช้วิธีการ Multiplex ดังรูปที่ 5.15 (ข) (ก) รูปที่ 5.12 วงจร Multiplex อย่างง่าย

  31. จากรูปที่ 5.15 (ก) การเลือกข้อมูลจาก D1ทำได้โดยเลื่อนสวิทช์ไปด้านบน ข้อมูลจาก D1จะผ่าน สวิทช์ออกไปทาง OutputY และถ้าต้องการให้ข้อมูลจาก D2ออกไปทาง Output Y ก็เลื่อนสวิทช์ไปทางด้านล่าง การทำงานของสวิทช์ดังกล่าวเรียกว่า 2 Line to 1 Line Multiplexer ซึ่งเราสามารถเลียนแบบการทำงานของสวิทช์ได้ด้วยวงจร Logic ตามรูปที่ 5.15 (ข) การเลือกข้อมูลนั้นใช้สัญญาณ Logic ที่ S เป็นตัวเลือก เช่น เมื่อ S = 0 D1จะถูกเลือกออกไปที่ Output Y และถ้า S = 1 D2จะถูกเลือก

  32. จากความต้องการดังกล่าว จึงเขียน Truth Table ของวงจรได้ดังนี้ ตารางที่ 5.4 Truth Table ของ 2 Line to 1 Line Multiplexer

  33. จากตารางที่ 5.3 เขียน Function ของ Output ได้ คือ Y = D1 + D2S เมื่อได้ Function ของ Output แล้วก็เขียนวงจร Logic ได้ดังรูปที่ 5.13 รูปที่ 5.13 วงจร Logic ของ 2 Line to 1 Line Multiplexer

  34. ในกรณีที่มีตัวเลือกเพียงตัวเดียว ก็สามารถเลือกข้อมูลได้ 2 ข้อมูล และถ้ามีตัวเลือก 2 ตัวก็เลือกข้อมูลได้ 4 ข้อมูล และหากมี 8 ข้อมูล ก็ต้องใช้ตัวเลือก 3 ตัว ซึ่งเขียนตารางความจริง และ Function ได้ดังนี้ (ข) (ก) รูปที่ 5.14 4 Line to 1 Line Multiplexer

  35. จากรูปที่ 5.5 (ก) เขียน Function ของ Output ได้คือ Y = D1 + S0 S1 + D2 S0 S1 + D3 S0 S1 + D4 S0 S1 = (D1 S1 + D3 S1 S0 + (D2 S1+ D4 S1) S0 ในทางปฏิบัติ เราสามารถเลือกได้ IC Chip Multiplex ได้ตามชนิดต่าง ๆ คือ IC เบอร์ 74150, 74151, 74152, 74153 ซึ่งเป็นเพียง Chip เดียวก็ทำงานได้โดยไม่ต้องออกแบบวงจรเอง รายละเอียดและวิธีการใช้สามารถดูได้จากคู่มือบริษัทของผู้ผลิต

  36. 5.5 วงจร Demultiplex ข้อมูลที่ได้จากวงจร Multiplex จะถูกถอดออกมาด้วยวงจร Demultiplex ซึ่งถ้าตัวเลือกนั้น เป็นตัวเลือกที่ถูกเลือกด้วย Code เหมือนกัน ข้อมูลที่รับออกมาจากการ Demultiplex ก็จะเป็นข้อมูลที่ถูกต้อง วงจร Demultiplex นี้ก็เหมือนกับสวิทช์ที่มีทางออกหลายทาง ดังรูปที่ 5.15 รูปที่ 5.15 วงจร Demultiplex

  37. จากรูปที่ 5.15 เขียนตารางความจริง และ Function ได้ดังนี้ ตารางที่ 5.5 Truth Table ของ l Line to 2 Line Demultiplexer D1 = Din S D2 = DinS

  38. จาก Function จึงเขียนวงจร Logic ได้คือ รูปที่ 5.16 วงจร Logic ของ 1 Line to 2 Line Demultiplexer

  39. จากรูปที่ 5.16 ข้อมูลที่ส่งเข้ามา คือ Din (ข้อมูลทาง Digital) ถ้าตัวเลือก (S) เป็น Logic 0 AND Gate ตัวล่างได้รับ Logic 0 ทำให้ Output D2เป็น Logic 0 ในขณะที่ AND Gate ตัวบน ได้รับ Logic1 จาก Inverter เมื่อ AND กับ Din ก็จะได้ Output ที่ D1เป็น Din ด้วย ในทำนองเดียวกัน ถ้า S เป็น Logic l Din ก็จะมาปรากฏที่ D2โดยที่ D1เป็น Logic 0 สำหรับ 1 Line to 4 Line Demultiplexer ก็เขียน Truth Table และสัญลักษณ์ได้ดังนี้

  40. (ก)Truth Table (ข)สัญลักษณ์ รูปที่ 5.17 1 Line to 4 Line Demultiplexer

More Related