html5-img
1 / 36

Design Methodologies for System-on-A-Chip

Design Methodologies for System-on-A-Chip. Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan 30043, ROC email: chunghaw@cs.nthu.edu.tw. IP1. IP2. System-on-A-Chip. IP1. ASIC. Intellectual Property (IP). Virtual Component (VC).

Download Presentation

Design Methodologies for System-on-A-Chip

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Design Methodologies for System-on-A-Chip Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan 30043, ROC email: chunghaw@cs.nthu.edu.tw

  2. IP1 IP2 System-on-A-Chip IP1 ASIC Intellectual Property (IP) Virtual Component (VC)

  3. Roles on System-on-A-Chip Development • IP vendors provide virtual components. • System houses develop a system by integrating many VCs. • Design services support both of IP vendors and system houses. Design services VC System house VC

  4. Design Methodologies • IP vendors - design methodologies for application-specific virtual-component development. • System houses - design methodologies for system integration.

  5. Characterizations of VCs Representation Design flow Behavioral RTL Soft System/RTL Floorplanning Synthesis Placement RTL/gate-level netlists Firm Routing Verification Hard Polygon data

  6. HDL spec. High-level synthesis RTL synthesis Logic synthesis Physical synthesis Layouts Typical Design Flow for VCs HDL Models Behavior RTL Macros Gate-level GA Tr-level FPGA Std-cell Custom

  7. Considerations of IP Vendors • What are the requirements from system houses? - Multi-level HDL models: consistency and accuracy, supporting co-verification (co-simulation and co-emulation). - Detailed functionalities and timing information. - Debugging support.

  8. Considerations of IP Vendors • What are the main concerns of IP vendors? - Pro: experienced design engineers who specialize on some IP designs. - Con: they may lack of experience on EDA tools and HDL-based design methodology. - Competition from other IP vendors. - Budget. - Security: how to safeguard the IP?

  9. Design Methodologies for IP Vendors • Application-specific in-house CAD. • Budget-driven design methodology. • Methods for developing higher level HDL models. • Tightly coupling to a multi-level verification methodology. • External design, verification, and layout supporting services.

  10. Security of IPs • Safeguarding IPs - many ASIC suppliers have worked with EDA vendors for years on this issue. • Encryption schemes and protection processes for IPs. • How to safeguard the IP and providing all the necessary design information, e.g., timing, to system houses?

  11. IP IP IP ASIC System Integration Software Glue logic

  12. System Integration Issues • Platform to evaluate various VC blocks to make their choices and to integrate the blocks for their design verification. • To verify the hardware design at system level, designers need to co-simulate or co-emulate the design flow using different computational models. • Debugging and diagnosis environment to support system integration.

  13. System Integration Issues • Verification methodologies supporting multi-level design process. • Multi-level design models - accuracy and consistency. • Multiple design teams are formed to work on specific parts of the design. • It’s very difficult to develop realistic and comprehensive test benches.

  14. System Integration Issues • Functional and architectural level modeling should be used extensively for system function definition and architectural trade-offs. • Interface timing errors between subsystems (IPs) increase dramatically. • Experiencing multiple design iterations and/or respins due to functional bugs. • Pre-existing IP may need to be constantly redesigned.

  15. Virtual Socket Interface (VSI) IP IP VSI Software Hardware IP IP Dream of a single bus standard is dead!!! “Bus Wrapper” ??? TO BE CONTINUED!

  16. Code generation Application spec. Analysis System integration Hardware spec. Software spec. Object code Typical Design Flow for System Design System-level synthesis Verification

  17. Design Tasks • Definition of system-level design specification. • Design evaluation and exploration. • Hardware/software codesign. • Co-verification: co-simulation and co-emulation. • Debugging and diagnosis. • Rapid prototyping.

  18. System Specification • Language-based - C, C++, HDLs, or… • Graphical-based - control and data flow graph. • Formal hardware/software representation.

  19. Design Evaluation and Exploration • Determine which IP can be used for the system. If there is one and more than one, we have to determine which one should be used. • The required specification provided by IP vendors to support design evaluation and exploration. • Design evaluation and exploration environment and methodologies.

  20. Hardware/Software Codesign • IP selection. • Hardware/software partitioning. • Interface synthesis. • Software synthesis. • Estimation.

  21. Application spec. IP IP IP Software spec Hardware/Software Partitioning Mapping Pre-defined IPs

  22. Glue logic IP IP Interface Synthesis • Interfaces between: - Hardware-hardware. - Hardware-software. - Software-software. • Timing and protocols.

  23. Processor IP library Software spec. Software Synthesis Code generation Software Synthesis Timing estimates C-code

  24. IP Estimation • Hardware estimation: timing and area. • Software estimation: timing and code size. • Accuracy Vs. fidelity. • For deep-submicron process, floorplanning information is crucial for timing estimation. IP Inter-IP connections GL IP IP

  25. Co-Verification • Key to co-design. • Plug-and-play system verification methods. • Interface-based verification flow - verifying the interfaces and pre-verified IP blocks. • Insufficient design information of IPs due to security reason. • Application-specific co-verification environment and methodologies. • Analog design verification???

  26. Closely-Coupled Design and Verification Methodologies Design Verification System-on-a-chip design methodology Integrating multi-level design and verification design tasks.

  27. Co-Simulation • Co-simulation - real-time connection of two or more simulators linked by a synchronization algorithm. Ex. mixed C, Verilog, and VHDL. • Working with multi-level models at different levels of abstraction. • Slow speed will be the problem.

  28. Co-Emulation • Integrating simulation and emulation environments for co-verification. • Providing an in-circuit verification environment. • Providing a system-level testing environment. • Providing a system prototyping.

  29. Workstation Target System Logic Emulator In-circuit Interface Logic Module Probe Module Typical Logic Emulation Environment Compiler, runtime software Stimulus generator, logic analyzer

  30. Glue logic IP IP Debugging and Diagnosis • Need a robust debugging and diagnosis environment and methodologies to support system-on-a-chip design process. • How to identify the bug source from a set of pre-verified IPs? Who’s fault??? BUDS OCCUR!!! Vendor 2 Vendor 1

  31. Rapid Prototyping • Basic components: FPGAs and FPICs. • Hardware : boards, boxes, and cabinets. • Software: methodologies and CAD tools. • Time-to-market. • Design complexity.

  32. Rapid Prototyping • Custom-designed prototyping board. • Logic-emulation systems. • Field-programmable printed-circuit-boards. • Application-specification in-house rapid-prototyping methodologies for different VC vendors and system houses.

  33. SW Integration Integration Code Design Design Build Design Fab Debug Debug Debug Development with Prototyping HW CHIP

  34. System Integration & SW Debug Code Design Design HW Integration & Debug Build Final Integration Chip debug Design Fab Development with Prototyping SW HW CHIP

  35. Conclusions • Application-specific design methodologies for IP designs and system integration. • In a keynote speech at the IP forum 1997, LSI Logic Corp. chairman Wifred Corrigan pointed out “because of the high costs of the methodology, system-on-a-chip only makes sense when you have high volumes.” => Easy to use and low cost methodology!!!

  36. Conclusions • A robust verification methodology is the key leading to successful system-on-a-chip designs. • Tightly coupling design and verification methodologies. • Joint effort by IP, system designers and EDA tool developers to develop robust system-on-a-chip design methodologies.

More Related