430 likes | 692 Views
补充内容. 浙大微电子 韩雁 2013.4. 内容. Testbench 工艺离散性的克服 电阻离散的影响 电容离散的影响 频率离散的校正 模拟 IC 低功耗设计技术. Testbench. 设计模块: test=~a|b&c;. Testbench 更像一个激励的产生器 , 对所设计的输入管脚产生相应的输入值( 0 或者 1 )系列,也叫“测试矢量”,通过观察输出值来评估设计的正确性。 Testbench 的工作就是把这些不同的输入值(测试矢量)加载到所设计的电路中。. Testbench 生成方式.
E N D
补充内容 浙大微电子 韩雁 2013.4 浙大微电子
内容 • Testbench • 工艺离散性的克服 • 电阻离散的影响 • 电容离散的影响 • 频率离散的校正 • 模拟IC低功耗设计技术 浙大微电子
Testbench 设计模块:test=~a|b&c; Testbench更像一个激励的产生器,对所设计的输入管脚产生相应的输入值(0或者1)系列,也叫“测试矢量”,通过观察输出值来评估设计的正确性。Testbench 的工作就是把这些不同的输入值(测试矢量)加载到所设计的电路中。 浙大微电子
Testbench生成方式 1.MaxplusII里面,画高低电平形成testbench。 2.Modelsim里面,按照一定规则写testbench。 浙大微电子
画testbench 设计模块:test=~a|b&c; 输出结果 浙大微电子
写testbench 设计模块:test=~a|b&c; `timescale 1ns/10ps module top_test(); reg a_test, b_test, c_test; test test (.a(a_test), .b(b_test), .c(c_test), .test(test_out)); //调用设计模块 initial begin a_test=0; b_test=0;c_test=0; //初始化 #(100) begin a_test=0; b_test=0;c_test=1;end //每100ns赋值一次 #(100) begin a_test=0; b_test=1;c_test=0;end #(100) begin a_test=0; b_test=1;c_test=1;end #(100) begin a_test=1; b_test=0;c_test=0;end #(100) begin a_test=1; b_test=0;c_test=1;end #(100) begin a_test=1; b_test=1;c_test=0;end #(100) begin a_test=1; b_test=1;c_test=1;end #(100) $stop; end endmodule 浙大微电子
编写testbench规则 1.定义仿真步长和仿真精度 `timescale 1ns/10ps 2. 定义模块 ,不需要输入输出端口列表,因为testbench只是产生激励它本身没有输入输出。 module top_test(); …… endmodule 3.定义变量类型 • 将与待测模块(DUT, Design Under Test)输入相连的信号 定义为reg类型。 reg a_test, b_test, c_test; • 将与DUT输出相连的信号定义为wire类型,单比特的输出信号可以 不定义,默认就是1 bit 的wire 类型信号。 wire out_signal1, out_signal2,…., out_signalN; 空的 浙大微电子
编写testbench规则 4.实例化DUT DUT_Module_Name DUT_Instance_Name(input1,input 2,…,output1); 5. 初始化reg类型信号 initial begin clk=0; in_signal1=0; …… //在这里添加其他reg类型变量的初始化值 end 6.产生特定频率的时钟 always #10 clk=~clk; //产生一个周期为20ns的时钟 名称可以一样 浙大微电子
编写testbench规则 8.产生输入信号 initial //initial块是并行执行 begin #100 en=1; //仿真开始100ns后 en赋值为1 # 200 in_signal1=1;//再过200ns ,in_signal1赋为1 …… # 10000000 $stop; //仿真停止,$finish为仿真结束 end 浙大微电子
Testbench扩展:常用系统函数 1.显示仿真结果文件中的内容: $display 2.读取文本数据函数:$readmemh(16进制), $readmemb(2进制) 3.打开文件:$fopen 4.将结果写入文件:$fmonitor(有变化就写), $fdisplay(固定周期写) 5. 关闭文件: $fclose 6.导出VCD格式数据 (VCD是一种记录仿真中信号跳变的数据格式,主要用作功耗分析): $dumpfile 7.控制仿真时间: $finish(结束), $stop(暂停) 8.读入SDF文件(一种记录门电路各节点延迟的文件,主要用作时序仿真): $sdf_annotate 浙大微电子
内容 • Testbench • 工艺离散性的克服 • 电阻离散的影响 • 电容离散的影响 • 频率离散的校正 • 模拟IC低功耗设计技术 浙大微电子
电阻离散性的克服 R=T/C 开关电容电路的主要优点: (1)与CMOS工艺的兼容性 (2)时间常数的高精确性 (3)电压的高线性 (4)良好的温度特性 开关电容电路的主要缺点: (1)时钟馈通(MOS管的栅控时钟信号通过Cgs, Cgd影响源漏电压的现象) (2)需要无交叠时钟信号 (3)要求信号带宽比时钟频率小 浙大微电子
电容的离散性 充电开关管 电容充电电流 放电开关管 充放电电容 电容放电MOS管 浙大微电子
VDD=5V, Temp=27℃,电容C在tt工艺角下 右图曲线从上往下分别是MOS管在ff,tt,ss工艺脚下的振荡频率。 频率偏差为1.96%~ -1.42%。 注:图中的C是Corner的缩写,不是电容C。 浙大微电子
VDD=5V, Temp=27℃,电容C在ff工艺角下 右图曲线从上往下分别是MOS管在ff,tt,ss工艺脚下的振荡频率。 频率偏差为1.92%~ -1.40%。 浙大微电子
VDD=5V, Temp=27℃,电容C在ss工艺角下 右图曲线从上往下分别是MOS管在ff,tt,ss工艺脚下的振荡频率。 频率偏差为2.00%~ -1.42%。 浙大微电子
VDD=5V, Temp=27℃,MOS管在tt工艺角下 右图曲线从上往下分别是电容C在ff,tt,ss工艺脚下的振荡频率。 频率偏差为15.24%~ -11.70%。 浙大微电子
VDD=5V, Temp=27℃,MOS管在ff工艺角下 右图曲线从上往下分别是电容C在ff,tt,ss工艺脚下的振荡频率。 频率偏差为15.22%~ -11.63%。 浙大微电子
VDD=5V, Temp=27℃,MOS管在ss工艺角下 右图曲线从上往下分别是电容C在ff,tt,ss工艺脚下的振荡频率。 频率偏差为15.29%~ -11.70%。 浙大微电子
VDD=5V, Temp=27℃,理想电流源 右图曲线从上往下分别是电容C和MOS管同时在ff,tt,ss工艺脚下的振荡频率。 频率偏差为17.45%~ -12.95%。 浙大微电子
结论 • 电容在0.5um CMOS工艺中的波动对信号频率的最大影响为15.29%~-11.70% • MOS管在0.5um CMOS工艺中的波动对信号频率的最大影响为2.00%~-1.42%。 浙大微电子
如何减小工艺波动对频率的影响? 1.修调 • 芯片设计时增加一定比例的电容或者电阻,流片结束后,根据芯片频率的测试结果选择烧断不同组合的电阻或者电容的连线,使频率趋于一致。 • 芯片设计时增加一定比例的恒流源MOS管,流片结束后,根据芯片频率的测试结果选择烧断不同组合的MOS管连线,改变恒流源电流大小。 2.采用无电容的振荡结构 浙大微电子
修调 增加的修调管与M12并联,流片后通过烧断管子减小充电电流修调频率 接PAD 充电 放电 • 修调可以采用fuse 结构烧断相应的连接线来实现,因此需要引出PAD。芯片封装后在PAD上接电源或地烧断fuse。 • 由于PAD有较大的寄生电容,要充分考虑该寄生电容对原电路的影响。还要考虑未烧断的fuse过细的连接线对正常电流的容量问题。 浙大微电子
PAD寄生电容的影响 浙大微电子
采用无电容的振荡结构 Kai Zhu等人在2010年集成电路设计顶级会议 VLSI上提出一种仅由MOS管组成的振荡电路。 Kai Zhu et.al, A Sub-1μA Low-Power FSK Modulator for Biomedical Sensor Circuits,2010 IEEE Annual Symposium on VLSI 浙大微电子
环振电路振荡频率跟偏置电流关系 线性关系 由于尾电流做不到非常之小,所以该电路振荡频率做不到太低 当尾电流被拿掉之后(或=0),就是RF常用的普通环振电路 Kai Zhu et.al, A Sub-1μA Low-Power FSK Modulator for Biomedical Sensor Circuits,2010 IEEE Annual Symposium on VLSI 浙大微电子
FSK振荡电路 利用偏置电流跟振荡频率的线性关系,通过待 调制数据改变偏置电流大小来得到不同的频率, 以此来产生FSK信号。 大家仿真的FSK调制 电路也是来自这篇文章。 Kai Zhu et.al, A Sub-1μA Low-Power FSK Modulator for Biomedical Sensor Circuits,2010 IEEE Annual Symposium on VLSI 浙大微电子
VDD=5V, Temp=27℃ 右图曲线从上往下分别是MOS管在ff,tt,ss工艺脚下的振荡频率。 频率偏差为 1.40%~-1.79% 浙大微电子
内容 • Testbench • 工艺离散性的克服 • 电阻离散的影响 • 电容离散的影响 • 频率离散的校正 • 模拟IC低功耗设计技术 浙大微电子
数字电路工作区 Vout 1.2 V 1 0.6 V Vin 模拟电路工作区 Vout 0 0 1 Vin 模拟IC低功耗设计有效方法--亚阈值IC • MOS管工作在亚阈值状态: VGS= 0.6 V < Vth = 0.68 V Vout C类反相器 0.6V Vin 浙大微电子
亚阈值区IC 受工艺涨落影响显著 考查:不同工艺角对该放大器性能的影响(Vth=0.68V) 浙大微电子
提出一种抗工艺涨落方法(申报专利) PMOS体电位调制电路 NMOS体电位调制电路, 采用“感应反馈”环路 形成负反馈, 减弱工艺涨落的不利影响 其中 是MOS管的源体电压, 是 =0时阈值电压, 费米势 浙大微电子
引入体电位调制技术后偏差明显减小 表1:不同工艺角下亚阈值反向器性能偏差情况 浙大微电子
代价 引入了额外的 高电平VDDH 低电平GNDL 浙大微电子
若不引入额外负电平情况下 浙大微电子
既不增加负电平也不增加正电平情况 浙大微电子
不同工艺角下反相器性能最大偏差汇总 浙大微电子
在18bit 高性能音频Σ-ΔADC中的应用 -模数转换器的结构框图 -调制器是 ADC 模拟部分主要的功能模块和功耗模块 浙大微电子
2-1级联结构调制器 浙大微电子
非亚阈值与亚阈值电路性能及功耗对比0.13 CMOSMixed-Signal (不带体电位调制) 浙大微电子
亚阈值下有体电位调制和无调制的对比 浙大微电子
带体电位调制的亚阈值低功耗电路的进步 浙大微电子
THE END 浙大微电子