1 / 25

Some Limits of Power Delivery in the Multicore Era

Some Limits of Power Delivery in the Multicore Era. Runjie Zhang , Brett H. Meyer, Wei Huang, Kevin Skadron and Mircea R. Stan University of Virginia, McGill University, IBM Austin Research Lab. ITRS Projection on Transistor Density (2011 Edition). Source: ITRS 2011.

eara
Download Presentation

Some Limits of Power Delivery in the Multicore Era

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Some Limits of Power Delivery in the Multicore Era Runjie Zhang, Brett H. Meyer, Wei Huang, Kevin Skadron and Mircea R. Stan University of Virginia, McGill University, IBM Austin Research Lab.

  2. ITRS Projection on Transistor Density (2011 Edition) Source: ITRS 2011

  3. Power Density and Current Density A/mm2 Current = Power / Supply Voltage

  4. The Chip - Package Source: pcgameshardware.com

  5. The Chip – Inside the Package Source: ITRS 2009Edition,

  6. The Chip – C4 Bumps Source: Wikipedia Source: flipchips.com,

  7. What are the problems? Electromigration Total Pad Count Source: Ye et al. Applied Physics letters, 2003 Year Source: Shaoet al. IEEE Computer Society Annual Symposium on VLSI, 2005 Source: ITRS 2011Edition,

  8. Architecture Level PDN Model

  9. Architecture Level PDN Model • Input: • PDN physical parameters. E.g. metal width • Processor floorplan and powermap. • Pad configuration • Output: • Voltage pad • Pad current

  10. Validation • IBM Power Grid analysis benchmarks • Steady-State • SPICE format • Provides details about metal layer and Pad locations

  11. IBM_PG6 Power Map VDD Pad Distribution

  12. Validation Results

  13. Pad Current Comparison

  14. Multicore Scaling Baseline: 3.7GHz, Duo Core, Intel Penryn 4-way OoO Processor Private L2 cache, 3MB per core Mesh-Based NoC

  15. Flooplan

  16. Power Delivery Noise Scaling Trend

  17. Pad Optimization

  18. Sorted Pad Current Before Optimization Sorted Pad Current After Optimization

  19. I/O vs. Power Supply Const core-to-MC ratio 80 pads per MC 5% IR drop target

  20. Thermal vs. Power Delivery

  21. Conclusions • Power delivery is becoming a limiting factor in near future; • IR drop poses a bigger challenge than ElectroMigration; • Memory bandwidth will be affected’ • With liquid cooling, scaling hit power delivery wall before thermal wall.

  22. Questions?

  23. Thanks!

  24. Temerature Map vs. Voltage Map Temperature(oC) Voltage (V)

  25. Voltage (V) Temperature(oC)

More Related