1 / 16

EDA 课程设计 -Max+PlusII 的使用

EDA 课程设计 -Max+PlusII 的使用. 电子实验中心 EDA 研究室. EDA 概述 EDA 工具 EDA 设计方法 MAX+PLUSII 的使用. EDA 概述.

axel
Download Presentation

EDA 课程设计 -Max+PlusII 的使用

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. EDA课程设计 -Max+PlusII的使用 电子实验中心 EDA研究室

  2. EDA 概述 • EDA工具 • EDA设计方法 • MAX+PLUSII的使用

  3. EDA 概述 EDA (Electronic Design Automation)技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

  4. EDA常用软件:EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。EDA常用软件:EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。 按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件。

  5. 定义(Electronic Design Automation): EDA技术,就是以大规模可编程逻辑器件为设计载体,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,采用多种输入方式(原理图输入),通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑综合及优化、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

  6. EDA 工具 • 器件:FPGA/CPLD(EP1K30QC208-3) • 输入方式:原理图输入 • 硬件描述语言 • 软件: MAX+plusII • 实验系统:EDAPRO240H

  7. EDA设计方法 MAX+plus设计电路的过程有四个阶段:设计输入、设计处理、设计校验以及器件编程。 设计输入:有多种输入方式,原理图设计输入、硬件描述语言输入、波形输入、底层设计输入、层次设计输入。 设计处理:完成设计后,对文件进行编译。Complier 设计校验:提供给用户仿真分析和定时分析的功能。仿真结果可在*.SCF文件中查看,对应输入波形检验是否与理论相符合。 器件编程:将所设计的电路烧录到芯片中。进行编程前首先要选定芯片,然后进行管脚锁定,最后再连线下载编程。

  8. EDA设计方法

  9. MAX+PLUSII的使用 • Max+plusII将数字电路设计集成在一个环境中,允许多种输入方式(原理图输入等)经过系统编译、综合等操作,对设计进行功能模拟,最后将编译好的电路下载到器件中,这样我们就可以看到相应的现象了。

  10. 用3-8译码器来实现用3个拨码开关控制发光二极管L1-L8的亮灭

  11. 实验箱上对应的器件

  12. 注意事项: • 文件名及其路径中不能使用中文,只能是数字或字母。 • 编译前设为当前文件,即file/project-set project to current file。 • 原理图编辑:复制,打开橡皮筋,文本工具;会用帮助,查看元件真值表;总线用法;输入输出端不能重名,且也是以数字、字母命名 • 编译无错误后,创建缺省符号。 • 功能模拟前要再次编译,选择功能编译,不考虑硬件,去延迟,且先保存再仿真。 • 管脚锁定前一定要正确选择编程器件。ACEX1K系列 EP1K30QC208-3。 • 编程下载前要再次进行时序编译,生成下载文件,包含管脚锁定信息。下载时再次确定器件和端口是否正确。LPT1

  13. 练习 • 用十进制同步计数器74160设计一个八进制计数器 • 用两片74160设计一个36进制计数器

More Related