1 / 65

Dirk Stroobandt Ghent University Electronics and Information Systems Department

A Priori System-Level Interconnect Prediction The Road to Future Computer Systems. Dirk Stroobandt Ghent University Electronics and Information Systems Department. Presentation at Northwestern University May 11th, 2000. Outline. Why do we need a priori interconnect prediction? Basic models

Download Presentation

Dirk Stroobandt Ghent University Electronics and Information Systems Department

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. A Priori System-LevelInterconnect PredictionThe Road to Future Computer Systems Dirk Stroobandt Ghent University Electronics and Information Systems Department Presentation at Northwestern University May 11th, 2000

  2. Outline • Why do we need a priori interconnect prediction? • Basic models • Rent’s rule with extensions and applications • A priori wirelength prediction • New evolutions: • 3D and anisotropic systems • System-level predictions • Applications • Conclusions Talk at NWU, Dirk Stroobandt

  3. Outline • Why do we need a priori interconnect prediction? • Basic models • Rent’s rule with extensions and applications • A priori wirelength prediction • New evolutions: • 3D and anisotropic systems • System-level predictions • Applications • Conclusions Talk at NWU, Dirk Stroobandt

  4. Why do we needa priori interconnect prediction? • Importance of wires increases (they do not scale as components). • For future designs, very little is known. Roadmapping uses a priori estimation techniques. • To improve CAD tools for design layout generation. • CAD tools have to take into account: timing constraints, area constraints, performance, power dissipation… • All these constraints: wires should be as short as possible. • Estimation at early stage aids the CAD tools in finding a better solution through fewer design cycle iterations. Talk at NWU, Dirk Stroobandt

  5. Why do we needa priori interconnect prediction? • To evaluate new computer architectures • To adhere to the increasing performance demands, new computer architectures are needed. • Each of them must be evaluated thoroughly. • A priori estimates immediately provide a ground for drawing preliminary conclusions. • Different architectures can be compared to each other. • Applications for evaluating three-dimensional (opto-electronic) architectures, FPGA’s, MCM’s,... Talk at NWU, Dirk Stroobandt

  6. Components of thephysical design step circuit architecture Layout generation layout Talk at NWU, Dirk Stroobandt

  7. Internalnet Circuit model Logicblock Net Externalnet Terminal / pin Multi-terminal nets have a net degree > 2 Talk at NWU, Dirk Stroobandt

  8. Model for partitioning 8 nets cut 4 nets cut Optimal partitioning: minimal number of nets cut Talk at NWU, Dirk Stroobandt

  9. Newnet New terminal Module Model for partitioning Talk at NWU, Dirk Stroobandt

  10. Circuit model Model for the architecture Cell Pad Channel Manhattan grid using Manhattan metric Placement and routing model The three basic models Talk at NWU, Dirk Stroobandt

  11. The three basic models Optimal placement = placement with minimal total wire length over all possible placements. • Optimal routing = routing through shortest path • requires channels with sufficiently high density • for multi-terminal nets: Steiner trees • This defines the net length for known endpoints Placement and routing model Talk at NWU, Dirk Stroobandt

  12. Outline • Why do we need a priori interconnect prediction? • Basic models • Rent’s rule with extensions and applications • A priori wirelength prediction • New evolutions: • 3D and anisotropic systems • System-level predictions • Applications • Conclusions Talk at NWU, Dirk Stroobandt

  13. p T = t B Rent’s rule Rent’s rule was first described by [Landman and Russo, 1971] For average number of terminals and blocks per module: 100 T p = Rent exponent t = average # term./block 10 Measure for the complexity of the interconnection topology (simple) 0 p 1 (complex) average Rent’s rule Normal values: 0.5  p  0.75 1 1 10 100 1000 B Talk at NWU, Dirk Stroobandt

  14. T B Rent’s rule If B cells are added, what is the increase T? In the absence of any other information we guess B Overestimate: many of T terminals connect to T terminals and so do not contribute to the total. We introduce a factor p(p <1) which indicates how self connected the netlist is T Statistically homogenous system Or, if B & T are small compared to B and T Talk at NWU, Dirk Stroobandt

  15. 100 T 10 1 1 10 100 1000 B Rent’s rule p T = t B Rent’s rule is experimentally validated for a lot of real circuits and for different partitioning methodologies. • Distinguish between: • p* : intrinsic Rent exponent • p : Rent exponent for • a given placement • p’ : Rent exponent for • a given partitioning average Rent’s rule Deviation for high B and T: Rent’s region II (cfr. later). Talk at NWU, Dirk Stroobandt

  16. Rent’s rule Rent’s rule is a result of the self-similarity within circuits Assumption: interconnection complexity is equal at all levels. Talk at NWU, Dirk Stroobandt

  17. Extension: the local Rent exponent • Variations in Rent’s rule: • global variations (e.g., lower complexity after Technology mapping of the circuit, duplication); • local variations. • Two kinds of local variations in Rent’s rule: • hierarchical locality: some hierarchical levels are more complex than others; • spatial locality: some circuit parts are more complex than others. • Both are deviations from Rent’s rule that can be modelled well. Talk at NWU, Dirk Stroobandt

  18. 100 T 10 1 1 10 100 1000 B Hierarchical locality: Rent’s region II • Causes of region II: • - pin limitation problem; • - parallel to serial (complexity is moved from space to time, number of pins is lowered); • - coding (input and output stream compact). average Rent’s rule Talk at NWU, Dirk Stroobandt

  19. Hierarchical locality: region III • For some circuits: also deviation at low end. • Mismatch between the available (library) and the desired (design) complexity of interconnect topology. • Only for circuits with logic blocks that have many inputs. T Talk at NWU, Dirk Stroobandt

  20. p2 T p1 p3 B Hierarchical locality: modelling • Use incremental Rent exponent (proportional to the slope of Rent’s curve in a single point). Talk at NWU, Dirk Stroobandt

  21. Spatial locality in Rent’s rule • Inhomogeneous circuits: different parts have different interconnection complexity. • For separate parts: • Only one Rent exponent (heterogeneous) might not be realistic. • Clustering: simple parts will be absorbed by complex parts. Talk at NWU, Dirk Stroobandt

  22. Local Rent exponent • Higher partitioning levels: Rent exponents will merge. • Spreading of the values with steep slope (decreasing) for complex part and gentle slope (increasing) for simple part. • Local Rent exponent • tangent slope of the line that combines all partitions containing the local block(s). 1 1 2 1 T 1 2 1 1 2 2 2 2 B Talk at NWU, Dirk Stroobandt

  23. Heterogeneous Rent’s rule • Suggested by (Zarkesh-Ha, Davis, Loh, and Meindl,’98) • Weighted arithmetic average of the logarithm of T: • Heterogeneous Rent’s rule (for 2 parts): Talk at NWU, Dirk Stroobandt

  24. Use of Rent’s rule in CAD • Rent’s rule is very powerful as a measure of interconnection complexity • Can aid in the partitioning process • Benchmark generators are based on Rent’s rule • Is basis for a priori estimates in CAD Talk at NWU, Dirk Stroobandt

  25. Rent’s rule in partitioning • Actual goal: minimize the number of pins per module. • We should use a pin count criterion. • External multi-terminal • nets lead to only one • new pin instead of two • when cut. • Preferring external nets • to be cut will better keep • clusters together. Talk at NWU, Dirk Stroobandt

  26. Rent’s rule in partitioning • Solution: use a new ratio value (in ratiocut partitioning) based on terminal count: • Better partitions are obtained because the total number of pins for each module is taken into account by the cost function. Talk at NWU, Dirk Stroobandt

  27. Rent’s rule in partitioning • Better (ratio cut) heuristic by using terminal count prediction (Stroobandt, ISCAS‘99). • Clustering property of the ratio cut: use Rent’s rule instead of uniformly distributed random graph. • New ratio: Instead of old ratio: Talk at NWU, Dirk Stroobandt

  28. Rent’s rule in partitioning • Important (especially in pin-limited designs): terminal balancing (Stroobandt, Swiss CAD/CAM‘99). • Minimizing the terminal count alone is not enough. Additional cost function for terminal balancing: Terminal Talk at NWU, Dirk Stroobandt

  29. Rent’s rule in benchmark generation • Generating benchmarks in a hierarchical way • Rent’s rule is used for estimating the number of connections • Other parameters have to be controlled as well: • Classical parameters: • total number of gates • total number of nets • total number of pins • Gate terminal distribution • Net degree distribution • Other issues: gate functionality, redundancy, timing constraints, ... Talk at NWU, Dirk Stroobandt

  30. Outline • Why do we need a priori interconnect prediction? • Basic models • Rent’s rule with extensions and applications • A priori wirelength prediction • New evolutions: • 3D and anisotropic systems • System-level predictions • Applications • Conclusions Talk at NWU, Dirk Stroobandt

  31. Donath’s hierarchical placement model 1. Partition the circuit into 4 modules of equal size such that Rent’s rule applies (minimal number of pins). 2. Partition the Manhattan grid in 4 subgrids of equal size in a symmetrical way. Talk at NWU, Dirk Stroobandt

  32. mapping Donath’s hierarchical placement model 3. Each subcircuit (module) is mapped to a subgrid. 4. Repeat recursively until all logic blocks are assigned to exactly one grid cell in the Manhattan grid. Talk at NWU, Dirk Stroobandt

  33. Donath’s length estimation model • At each level: Rent’s rule gives number of connections • number of terminals per module directly from Rent’s rule (partitioning based Rent exponent p’); • every net not cut before (internal net): 2 new terminals; • every net previously cut (external net): 1 new terminal; • assumption: ratio f = (#internal nets)/(#nets cut) is constant over all levels k (Stroobandt and Kurdahi, GLSVLSI’98); • number of nets cut at level k (Nk) equals where =1/(1+f);  depends on the total number of nets in the circuit and is bounded by 0.5 and 1. Talk at NWU, Dirk Stroobandt

  34. Donath’s length estimation model Length of the connections at level k ? Adjacent (A-) combination Diagonal (D-) combination  Donath assumes: all connection source and destination cells are uniformly distributed over the grid. Talk at NWU, Dirk Stroobandt

  35. Average interconnection length • Number of connections at level k: • Average length A-combination: • Average length D-combination: • Average length level k: • Total average length: with • and 2K = G = total number of gates Talk at NWU, Dirk Stroobandt

  36. 30 25 p = 0.7 20 L 15 p = 0.5 10 p = 0.3 5 0 1 10 100 103 104 105 106 107 G Results Donath Scaling of the average length L as a function of the number of logic blocks G : Similar to measurements on placed designs. Talk at NWU, Dirk Stroobandt

  37. 8 theory 7 experiment 6 5 L 4 3 2 1 0 10 100 1000 10000 G Results Donath Theoretical average wire length too high by a factor 2 Talk at NWU, Dirk Stroobandt

  38. Including optimal placement model • Keep wire length scaling by hierarchical placement. • Improve on uniform probability for all connections at one level (not a good model for an optimal placement). Enumeration: site density function (only architecture dependent). Occupying probability favours short interconnections (for an optimal placement) (darker) Talk at NWU, Dirk Stroobandt

  39. Including optimal placement model • Wirelength distributions contain two parts: site density function and probability distribution all possibilities requires enumeration (use generating polynomials) probability of occurrence shorter wires more probable Talk at NWU, Dirk Stroobandt

  40. Wire length distribution • Local distributions at each level have similar shapes (self-similarity)  peak values scale. • Integral of local distributions equals number of connections. • Global distribution follows peaks. • From this we can deduct that • For short lengths: Talk at NWU, Dirk Stroobandt

  41. Occupying probability: results • Use probability on each hierarchical level (local distributions). 8 Occupying prob. 7 Donath 6 experiment 5 L 4 3 2 1 0 10 100 1000 10000 G Talk at NWU, Dirk Stroobandt

  42. Occupying probability: results Effect of the occupying probability: boosting the local wire length distributions (per level) for short wire lengths percent of wires Occupying prob. Donath 100 global trend global trend 10 per level per level 1 total total 0,1 0,01 10-3 10-4 1 10 100 1000 10000 1 10 100 1000 10000 Wire length Wire length Talk at NWU, Dirk Stroobandt

  43. Occupying probability: results • Effect of the occupying probability on the total distribution: more short wires = less long wires •  • average • wire length • is shorter percent wires 100 Donath 10 Occupying prob. 1 10-1 10-2 10-3 10-4 10-5 1 10 100 1000 10000 Wire length Talk at NWU, Dirk Stroobandt

  44. Occupying probability: results Percent wires 60 Donath 50 -8% Occupying prob. -23% global trend 40 30 +10% 20 +6% 10 1 3 4 5 6 7 8 10 2 9 Wire length Talk at NWU, Dirk Stroobandt

  45. Occupying probability: results Number of wires 1000 Donath Occupying prob. 100 measurement 10 1 0,1 1 10 100 Wire length Talk at NWU, Dirk Stroobandt

  46. Davis’ probability function • Introduced by Davis, De, and Meindl (IEEE T El. Dev., ‘98). • Number of interconnections at distance l is calculated for every gate separately, using Rent’s rule. • Three regions: gate under investigation (A), target gates (C), and gates in between (B). • Number of connections between A and C is calculated. This approach alleviates the discrete effects at the boundaries of the hierarchical levels while maintaining the scaling behaviour. Talk at NWU, Dirk Stroobandt

  47. A B A B A B A B A B C C C C C Davis’ probability function = + - - C C B C TAC + - - TAB TBC TB TABC = C B B B C C B B A B B C Assumption: net cannot connect A,B, and C C B B B C C B C C Talk at NWU, Dirk Stroobandt

  48. C C B C C B B B C C B B A B B C C B B B C C B C C Davis’ probability function For cells placed in infinite 2D plane Talk at NWU, Dirk Stroobandt

  49. 28 L L Planar wirelength model A Finite system, Btot=L2, no edges, approximate form for q(l) Talk at NWU, Dirk Stroobandt

  50. 29 L Planar wirelength model B (Davis) L Finite system, Btot=L2, includes edge effects, use q(l) Talk at NWU, Dirk Stroobandt

More Related