1 / 62

Avinoam Kolodny Technion – Israel Institute of Technology Intel PVPD Symposium July 2006

Issues in the Design of Wires. Avinoam Kolodny Technion – Israel Institute of Technology Intel PVPD Symposium July 2006. Thanks to my students and collaborators. Anastasia Barger Shay Michaely Konstantin Moiseev Nir Magen Michael Moreinis Arkadiy morgenshtein. David Goren Shmuel Wimer

ajaxe
Download Presentation

Avinoam Kolodny Technion – Israel Institute of Technology Intel PVPD Symposium July 2006

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Issues in the Design of Wires Avinoam Kolodny Technion – Israel Institute of TechnologyIntel PVPD SymposiumJuly 2006

  2. Thanks to my students and collaborators Anastasia Barger Shay Michaely Konstantin Moiseev Nir Magen Michael Moreinis Arkadiy morgenshtein David Goren Shmuel Wimer Uri Weiser Nachum Shamir Israel Wagner Ran Ginosar Eby Friedman

  3. Connectivity and Complexity

  4. What are the issues with wires? • Delay • Power • Noise • Reliability • Cost

  5. Scope of this talk • Interconnect delay • Interconnect Power

  6. Sizing and spacing of uniform bus wires

  7. RC wire delay model S W A N wires Wires do not scale well!

  8. Coupling capacitance typically dominates S W A

  9. Data Rate Optimizationin an Interconnect Channel W N wires • Increase N by: • making the wires narrow (small W), • and dense (small S) • What will happen to the delay? S A * A. Barger, D. Goren, A. Kolodny, “Simple Design Criterion for Maximizing Data Rate in NoC links”, SPI 2006.

  10. Data Rate vs. Wire Width (W) and Spacing (S) Rough Approximation: S [m] W [m] T=1m, H=1m, A=60m,l=2mm.

  11. What about the speed of light? • Assume S=W RLC RC RC model is unrealistic here! W=S [u]

  12. Evolution of Wire Delay Models *Source: E. G. Friedman, U. or Rochester

  13. RLC delay model Approximation 2.5 2 1.5 in [V] RLC_out RC_out 1 0.5 0 0 50 100 150 200 250 time [psec] • Inductive effects: • Longer delay • Steeper slope • overshoot RLCmodel L,C and R are per unit length l denotes wire length RCmodel RLCdelay RCdelay * Eby G. Friedman, Yehea E. Ismail, On-chip inductance in high speed integrated circuits, 2001

  14. Choosing Wire Width and Spacing for maximal Data Rate RC model RLC model T=1m H=1m, A=60m,l=2mm.

  15. A simple criterion to choose wire width for peak data rate • Assume S=W RC region RLC region RC_delay=0.37RCl2 time_of_flight * A. Barger, D. Goren, A. Kolodny, “Simple Design Criterion for Maximizing Data Rate in NoC links”, SPI 2006.

  16. Peak data rate is near RC/RLC boundary RC region RLC region RC model is unrealistichere RC model is O.K. RC W* Simple Criterion for Maximal Data Rate RLC

  17. We know how to extract C, but what about L? l is the wire lengthc0 is the speed of light in vacuum ris the dielectric coefficient of the insulator L and C are per unit lengthpropagation speed in the wire is Ltotal and Ctotalare for the whole wire

  18. Fast wires must use transmission line layout w w t t SIGNAL SIGNAL h tg tg GROUND GROUND wg wg • Ground plane and/or wires provide current return path d ws t h ws d w S S t S S t h h tg tg GROUND GROUND wg wg

  19. Slower propagation because of Crossing Lines • Crossing lines increase the capacitance, but…. • They do not provide a return path for the signal current • They do not reduce inductance • Time of flight (TOF) becomes longer ! • Extract capacitance CRETURN (by ignoring the crossing lines in the layout) to estimate the longer TOF from this expression: Crossing Lines Ground Plane * A. Barger, D. Goren, A. Kolodny, “Simple Design Criterion for Maximizing Data Rate in NoC links”, SPI 2006.

  20. conclusions on uniform buses • Most wires operate at the RC region • Simple criterion for peak data rate ensures this • Most wires are laid out at higher density, and operate more slowly • RLC model is necessary only for a few wires • When propagation speed is important • Make them wide and thick to reduce R • Use Transmission line layout for these wires!

  21. Sizing and spacing of individual wires in interconnect channels

  22. Should all wires be the same?How about optimizing individual widths and spaces? N wires S W Weak driver Strong driver Weak driver l A A is a fixed constraint

  23. Interconnect channel structure * S. Wimer, S. Michaely, K. Moiseev and A. Kolodny, "Optimal Bus Sizing in Migration of Processor Design", IEEE Transactions on Circuits and Systems – I, vol. 53. no. 5, May 2006.

  24. Delay Model for wire i

  25. Timing Objectives for interconnect channel Optimization total sum of slacks total sum of delays worst negative slack max delay all Objective Functions are convex

  26. Minimizing Total Sum of Delays (or Slacks)(equivalent to minimizing the average wire delay) • Objective: minimize • Total channel width constraint: • At optimum : • This leads to algebraic equations in 2n+2 variables:Unique global optimum!

  27. Minimizing the Maximal Delay (MinMax problem)(Optimizing the worst-case wire) • This objective function is not differentiable: no analytic solution • Theorem: In the optimal MinMax solution, the delays of all the wires are equal Objective: minimize Same constraint:

  28. Why all wires become equally criticalin MinMax solution? L L A A Criticalwire • Iteratively allocate more and more area resources to the slowest wire • The critical wire will improve • Its neighbors will lose these resources … • Until the neighbors become critical too L A

  29. Iterative Algorithm(Wire sizing and spacing for MinMax Delay) • Set initial solution • Equalize all delays (iteratively) • Apply ‘area preserving local modification’ • Go to 2 if 3 yielded max delay reduction

  30. Example: Minimizing the worst slack • Cross section of bus wires after MinMax slack optimization, assuming a critical signal (required early) in the middle. Required delay [ps] Obtained delay [ps] Distance from sidewall [m]

  31. Interleaved bus example(odd-numbered drivers are strong, even-numbered drivers are weak) Widths [m] Spaces [m] Delays [ps] After total sum of delays minimization: After MinMax (worst case wire delay) minimization: Widths [m] Spaces [m] Delays [ps] Distance from sidewall [m]

  32. Relation Between Minimal Total Sum and MinMax • Which kind of optimization is more useful in practice?

  33. Effect of the constraint A

  34. Migration of a bus in 65nm technology [

  35. Conclusions on optimizing individual wire widths and spaces • Some performance improvement by wire sizing/spacing, according to individual signal slack, driver resistance, etc. • Sum-of-delays is a useful objective for minimization • Very appropriate for automated migration of layout to a new process

  36. What else can we do with wires in an interconnect channel?

  37. Wire reordering (permutation) 1 2 3 4 1 3 2 4

  38. Reordering of wires to improve the optimal delay

  39. Which order is better? Worst order Best order!

  40. The idea behind net reordering • Arrange nets by driver resistance such that cross-capacitances are shared optimally

  41. Symmetric Hill Order • Take wires sorted in descending order of driver resistance and put alternately to the left and right sides of the bus channel • Obtained permutation of wires is called Symmetric Hill order Rdriver 7 6 5 4 3 2 1 Symmetric Hill order provides best sharing of inter-wire spaces

  42. Optimal order theorem • given an interconnect channel whose wires are of uniform width W, ‘Symmetric Hill’ order of signals yields minimum total sum of delays (after spacing optimization). Rdriver * K. Moiseev, S. Wimer and A. Kolodny, “Timing Optimization of Interconnect by Simultaneous Net-Ordering, Wire Sizing and Spacing,” ISCAS2006.

  43. Optimal order in more general cases? • Example:20 sets of 5 wires • Rdr: [0.1 ÷ 2] KΩ (random) • Cl: [10 ÷ 200] fF (random) • Bus length: 600 μm • Bus width: 12 μm • Technology: 90 nm • Symmetric Hill was proven optimal for most practical cases (total sum of delay minimization) • A good heuristic: • Don’t try all permutations! • 1) Put the signals in symmetric hill of their drivers • 2) Perform optimization of widths and spaces

  44. Symmetric Hill for MinMax delay? • Not necessarily optimal • BUT: • Was found optimal for most practical cases • It is a good heuristic • In fact, the MinMax delay is very sensitive to wire reordering • Symmetric hill is also good for reducing delay uncertainty because of crosstalk noise

  45. Delay improvement by reordering(65nm technology, examples of 5 wires)

  46. Wire reordering is most effective when there is a mix of driver strengths 20 Average delay 15 minimization 10 Delay improvement, % Critical delay 5 minimization 0 1 2 3 4 5 6 Number of weak drivers in a channel of 7 wires • 65 nanometer technology, A=3m, L=500 m • Delay improvement: from worst ordering to best ordering

  47. Impact of the range of driver strengths

  48. Conclusions on wire reordering • It is yet another degree of freedom! • Can help if there is a mix of driver strengths • Don’t try permutations…. Use Symmetric Hill

  49. Can we use wire sizing and spacing to reduce power?

  50. The interconnect power problem Dynamic Power breakdown Gate Diffusion Interconnect Technology generation [μm] Source: Nir Magen, SLIP04 ITRS 2001 Edition adapted data

More Related