1 / 18

Do We Need Wide Flits in Networks-On-Chip?

Do We Need Wide Flits in Networks-On-Chip?. Junghee Lee, Chrysostomos Nicopoulos , Sung Joo Park, Madhavan Swaminathan and Jongman Kim. Presented by Junghee Lee. Introduction. Increasing number of cores  Communication-centric  Packet-based Networks-on-Chip Unit

yoland
Download Presentation

Do We Need Wide Flits in Networks-On-Chip?

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Do We Need Wide Flits in Networks-On-Chip? Junghee Lee, ChrysostomosNicopoulos, Sung Joo Park, MadhavanSwaminathan and Jongman Kim Presented by Junghee Lee

  2. Introduction • Increasing number of cores Communication-centric Packet-based Networks-on-Chip • Unit • Packet: a meaningful unit of the upper-layer protocol • Flit: the smallest unit of flow control maintained by NoC • If a packet is larger than a flit, a packet is split into multiple flits • The flit size usually matches with the physical channel width

  3. Motivation 256 Intel Sandy Bridge 64 or 128 Research papers 144 Intel Single-Chip Cloud What is the optimal flit sizein Networks-on-Chipforgeneral purpose computing? 256 or 512 Research papers 160 Tilera

  4. Multifaceted Factors A first attempt in drawing balanced conclusion

  5. Assumed NoC Router Architecture d v p c

  6. Packet and Flit Header Payload

  7. Simulation Environment

  8. Default NoC Parameters

  9. Key Questions

  10. #1) Global Wires • Can we afford wide flits as technology scales? Technology scaling does not allow for a direct widening of the flits because the power portion of the global wires increases as technology scales * International Technology Roadmap for Semiconductors (ITRS) 2009 and 2011

  11. #2) Cost of Router • Is the cost of wide-flit routers justifiable? Cost of buffers Flit size  Buffer depth  Number of virtual channels Cost of switch (Flit size)2 (Number of ports)2 Switch Cost Flit size  2  cost of router  2.97 Flit size  4  cost of router  10.10 Buffer If the performance improvement does not compensate for the increase in the cost, widening of the flit size is hard to justify Flit size

  12. #3) Latency • The network traffic usually consists of packets of different sizes • ls: The size of shortest packet • ll: The size of longest packet • How much do wide flits contribute to overall performance? Latency Suggested rule of thumb: Flit size = shortest packet size + header overhead Flit size ls+h ll+h

  13. #4) Workload Characteristics The injection rate of real applications is far less than the typical saturation point of NoC  Self-throttling effect [34] • Do memory-intensive workloads need wide flits? Up to 64 cores, we can keep the rule of thumb because of the low injection rate

  14. #5) Throughput • Widening the flit is not a cost-effective way because of fragmentation • If widening the physical channel is the only option for increasing the throughput, we suggest using physically separated networks Latency • Do we need wider flits as the number of processing elements increases? One 80-bit network One 160-bit network Two 80-bit networks Flit size

  15. Conclusions • No, unless the power budget for NoC increases • No, the cost increases sharply with the flit size • Until the flit size reaches the shortest packet size • No, because of self-throttling effect • No, because of fragmentation

  16. Final Conclusion • Suggested rule of thumb:Flit size = shortest packet size + header overhead • This paper provides a comprehensive discussion on all key aspects pertaining to the NoC’s flit size • This exploration could serve as a quick reference for the designers/architects of general-purpose multi-core microprocessors who need to decide on an appropriate flit size for their design.

  17. Thank you!

  18. Questions? Contact info Junghee Lee junghee.lee@gatech.edu Electrical and Computer Engineering Georgia Institute of Technology

More Related