1 / 30

信息技术实验教学中心 典型课件 之 ISE 快速设计实验

信息技术实验教学中心 典型课件 之 ISE 快速设计实验. 信息工程学院(软件学院). 设计流程. 设计输入. 原理图设计输入。 VHDL 语言设计输入(暂时不讲)。. 1. 创建工程. 点击菜单栏 File - >New Project ,在弹出的对话框中,分别填入工程名和存放路径,(最好不要有中文字符和空格)。. ( 2 )点击下一步后,在对话框中选择下载目标器件,如下图。. ( 3 )继续点击下一步,直到完成。. 2. 画原理图.

whitney
Download Presentation

信息技术实验教学中心 典型课件 之 ISE 快速设计实验

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 信息技术实验教学中心典型课件之ISE快速设计实验信息技术实验教学中心典型课件之ISE快速设计实验 信息工程学院(软件学院)

  2. 设计流程

  3. 设计输入 • 原理图设计输入。 • VHDL语言设计输入(暂时不讲)。

  4. 1.创建工程 • 点击菜单栏File->New Project,在弹出的对话框中,分别填入工程名和存放路径,(最好不要有中文字符和空格)。

  5. (2)点击下一步后,在对话框中选择下载目标器件,如下图。(2)点击下一步后,在对话框中选择下载目标器件,如下图。

  6. (3)继续点击下一步,直到完成。

  7. 2.画原理图 (1)以一个3位计数器为例,点击菜单栏Project->New Source,在弹出的对话框中输入文件名,并选择Schematic。

  8. (2)点击下一步,直到完成。 (3)在新窗口中,进行你的设计的原理图的输入。 (4)输入完成后,检查原理图是否有错误。点击菜单栏Tools->Check Schematic,如有错误,根据提示进行修改。如下图。

  9. 功能仿真 仿真分为功能仿真和时序仿真,两者的差别在于时序仿真加入了器件的延时信息。首先要创建仿真测试文件,然后利用Modelsim进行仿真。ISE提供了与Modelsim很好的接口,可以在ISE中很方便地调用Modelsim进行仿真。

  10. 1、点击菜单栏Project->New Source,在弹出的对话框中输入文件名,并选择Test Bench Waveform,点击下一步。

  11. 2、选择源原理图,点击下一步,弹出一个对话框,点击完成。2、选择源原理图,点击下一步,弹出一个对话框,点击完成。

  12. 3、按照下图所示设置,点击ok。

  13. 3、用鼠标点击加入测试激励。

  14. 4、双击Simulate Behavioral Model进行功能仿真,如下图。

  15. 设计实现 设计实现主要包括综合、映射和布局布线。ISE工具将这些操作全部整合一起,可以使用户很方便地进行这些操作。在ISE中,主要进行两个操作,Synthesize(综合)和Implement Design(实现)。

  16. 时序仿真 功能仿真和时序仿真的差别在于时序仿真加入了器件的延时信息。时序仿真的方法和功能仿真一样。

  17. 下载验证 首先对你顶层设计文件设置引脚约束,然后,在Proceses for Source工作区,双击Generate Programming File,生成用于下载的bit文件,最后利用iMPACT工具进行下载。

  18. 在新弹出的窗口中进行引脚分配。可参照开发板的用户手册,设置相应引脚。只需将各个I/O Pin拖入各个节点即可(也可以在左边窗口填写引脚)。

  19. Generate Programming File: (1)在产生配置文件之前,先进行属性的设置。右键点击Generate Programming File,选择Properties。

  20. 在弹出的对话框中,只需改动一个选项,选择JTAG Clock,如图。

  21. (2)双击Generate Programming File,生成下载配置文件。

  22. 下载:最后进行下载,并验证其功能。在确保目标板上电,并正确连接下载电缆的基础上,双击Configure Device,运行iMPACT进行下载。

  23. 双击Configure Device后,弹出一个对话框,点击下一步。

  24. 点击完成。

  25. 弹出一个对话框,点击确定。 双击XXX.bit文件。

  26. 这时又弹出一个对话框,点击取消。

  27. 右键点击第一个器件,选择Program。

  28. 弹出一个对话框,点击OK。

  29. 下载成功,则显示下图。

More Related