1 / 21

Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks

Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks. Yanqi Zhou, Sameer Wagh , Prateek Mittal, and David Wentzlaff 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA) Presented by : Sandeep Kumar. Side-Channel Attacks.

villarreal
Download Presentation

Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks Yanqi Zhou, Sameer Wagh, Prateek Mittal, and David Wentzlaff 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA) Presented by : Sandeep Kumar

  2. Side-Channel Attacks • Victim and the attacker process are running on same hardware, and there is some shared resource between them. • Attacker aim to discern the victims activity based on its activity on the shared resource. Shared Resource

  3. How it is done Shared resource, L1 or L2 cache

  4. How it is done Shared resource, L1 or L2 cache Write

  5. How it is done Shared resource, L1 or L2 cache Read

  6. How it is done Shared resource, L1 or L2 cache Write

  7. How it is done Read

  8. How it is done Do this multiple times. Victim process’s access pattern is leaked. Memory requests Time

  9. Effect of this

  10. The problem? Memory requests Time

  11. Leaked memory requests distribution Lots of data access operation. Memory requests Not so many. Time

  12. Camouflaged memory requests distribution CAMOUFLAGED Distribution hidden by a uniform distribution Memory requests Time

  13. Design of Camouflage Request Shaper: Shapes the memory requests from the applications Response Shaper: Shapes the memory response from the memory controller.

  14. Algorithm: Quickly BINS: Controls the memory accesses. Each having different rate of operation. µ1 µ5 µ4 µ3 µ2

  15. Algorithm: Quickly Bins are filled with one token at every EPOCH. EPOCH 1 µ1 µ5 µ4 µ3 µ2 Every memory requests consumes one token.

  16. Algorithm: Quickly Un-used tokens are used to generate fake request at the end of each EPOCH. EPOCH 2 µ1 µ5 µ4 µ3 µ2

  17. Algorithm: Quickly Constant Shaper: Please note that if there is a single bin then the rate of operation is constant. EPOCH 2 µ3

  18. Algorithm Monitor LLC for cache hits and misses Low? Rate exceeding? Issue STALL signal to the core. YES YES Generate fake memory requests with low priority.

  19. Few Points

  20. Mutual Information theory • How much information is leaked? Optimal:

  21. Thank you

More Related