1 / 1

Challenges and Emerging Solutions in Testing 2.5D- and 3D-Stacked ICs

時間: 2013.4.25 (星期四) 上午 10:30-12:00 地點:台灣大學 博理館 201 室 講者: Erik Jan Marinissen (Principal Scientist – IMEC). Challenges and Emerging Solutions in Testing 2.5D- and 3D-Stacked ICs. Abstract :

tadeo
Download Presentation

Challenges and Emerging Solutions in Testing 2.5D- and 3D-Stacked ICs

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 時間:2013.4.25(星期四) 上午10:30-12:00 地點:台灣大學博理館201室 講者:Erik Jan Marinissen (Principal Scientist – IMEC) Challenges and Emerging Solutions in Testing 2.5D- and 3D-Stacked ICs Abstract: Fine-pitch micro-bumps and Through-Silicon Vias (TSVs) provide high-density, low-latency, and low-power vertical interconnects, thereby enabling the creation of 2.5D- and 3D-Stacked ICs. In 2.5D-SICs, multiple dies are stacked side-by-side on top of a silicon interposer base containing TSVs. 3D-SICs are towers of vertically stacked active dies, in which the vertical inter-die interconnects contain TSVs. Both 2.5D- and 3D-SICs are fraught with test challenges, for which solutions are only emerging. In this talk, we classify the test challenges as (1) test flows, (2) test contents, and (3) test access, and will address all of them. Biography: Erik Jan Marinissen is Principal Scientist at IMEC vzw in Leuven, Belgium. Previously, he worked at NXP Semiconductors and Philips Research, both in Eindhoven, the Netherlands. Marinissen holds an MSc degree in Computing Science (1990) and a PDEng degree in Software Technology (1992), both from Eindhoven University of Technology. Marinissen’s research interests include all topics in the domain of test and debug of micro-electronics. He is co-author of over 180 journal and conference papers and co-inventor on ten granted US and EP patent families. Marinissen is recipient of the ITC 2008 and ITC 2010 Most Significant Paper Awards and Best Paper Awards at the Chrysler-Delco-Ford Automotive Electronics Reliability Workshop 1995 and the IEEE International Board Test Workshop 2002. He served as Editor-in-Chief of IEEE Std 1500 and as Founder and Chair of IEEE P1838 on 3D test access. He is a founder of workshops on ‘Diagnostic Services in Network-on-Chips’ (DSNOC), ‘3D Integration’, and ‘Testing of Three-Dimensional Stacked Integrated Circuits’ (3D-TEST). He serves on numerous conference committees, including ATS, DATE, ETS, ITC, and VTS, and on the editorial boards of IEEE Design & Test of Computers, IET Computers and Digital Techniques, and Springer’s Journal of Electronic Testing: Theory and Applications (JETTA). Marinissen is a Fellow of IEEE and Golden Core Member of Computer Society. 主辦單位: 台灣大學電子工程學研究所 / 協辦單位:台大系統晶片中心

More Related