1 / 28

DEGITAL LOGIC CIRCUIT

DEGITAL LOGIC CIRCUIT. Term Project – 4 bit ALU. Contents. 디지털 시스템 설계 대상 선정 디지털 시스템 설계 과정 설계된 논리도 Pspice 시뮬레이션 하드웨어 제작 결과 고찰. 시스템 설계 대상 선정. 설계 대상 선정. 조합논리회로와 동기식 순차논리회로를 종합적으로 포함하고 있음. ALU 는 기초적인 디지털 계산기에서부터 컴퓨터의 CPU 에 이르기까지 마이크로 프로세서라 불릴 수 있는 곳에는 빠질 수 없는 소자.

remy
Download Presentation

DEGITAL LOGIC CIRCUIT

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. DEGITAL LOGIC CIRCUIT Term Project – 4 bit ALU

  2. Contents 디지털 시스템 설계 대상 선정 디지털 시스템 설계 과정 설계된 논리도 Pspice 시뮬레이션 하드웨어 제작 결과 고찰

  3. 시스템 설계 대상 선정 • 설계 대상 선정 • 조합논리회로와 동기식 순차논리회로를 종합적으로 포함하고 있음. • ALU는 기초적인 디지털 계산기에서부터 컴퓨터의 CPU에 이르기까지 마이크로 프로세서라 불릴 수 있는 곳에는 빠질 수 없는 소자 . • CPU 에 가장 기본적인 부분인 ALU 부분을 제작하기로 함. • clock에 의해 하나씩 증가되는 4bit의 이진수 A, B에 대해서 산술연산과 논리연산을 수행할 수 있는 회로를 설계 • 연산선택단자와 출력선택단자에 5비트 카운터를 연결하여 입력한 두수에 대해서 14가지의 연산결과를 보여줄 수 있도록 제작

  4. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Arithmetic unit • ALU는 세 개의 영역으로 구분질 수 있다. 그 중 하나가 연산을 담당. • 4× 1Multiplexer와 Full Adder로 구성. • A+B, A+B+1, A-B-1, A-B, Transfer A, Increment A, Decrement A, • Transfer A로 총 8가지의 연산을 수행

  5. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Arithmetic unit

  6. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Arithmetic unit Multiplexer FullAdder

  7. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Logic Unit 설계 • 논리 연산을 담당하는 Logic unit • .두 수의 AND, OR, EX-OR, NOT 연산을 수행 • 연산하고자 하는 비트만큼의 해당 GATE들과 4× 1 Multiplexer로 • 이루어진다.

  8. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Logic Unit 설계

  9. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Shifter 설계 • 비트 Shift연산은 low레벨 language 프로그래밍에서 필수적으로 • 빈번히 사용되는 연산중의 하나 • 연산속도에 영향을 미치므로 ALU에 포함되는 것이 일반적

  10. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • Shifter 설계

  11. 디지털 시스템 설계 과정 1. 조합 논리 회로 설계 • QUAD-MUX 설계 • Arithmetic, Logic, Shift 이 3가지 연산을 수행하고 이들 값 중에 • 한가지를 출력

  12. 디지털 시스템 설계 과정 2. 순차 논리 회로 설계 • selection input 설계 • 상태 천이도 • 상태표

  13. 디지털 시스템 설계 과정 2. 순차 논리 회로 설계 • selection input 설계 • 14진 카운터의 입력조건 • 각 플립플롭에 대한 입력조건을 카르노맵으로써 간소화 S3 = 0 S3 = 1 D4 = S0S1S2S3' + S0'S1'S2'S3

  14. 디지털 시스템 설계 과정 2. 순차 논리 회로 설계 • 설계된 디지털 시스템의 출력 F에 대한 진리표

  15. 디지털 시스템 설계 과정 2. 순차 논리 회로 설계 • 설계된 디지털 시스템의 출력 F에 대한 진리표 • Selection에 의해서 S1, S0가 각각 HIGH, LOW로 변하면서 연산 수행. • S2는 입력 A를 shift시키는데 사용된다. S3, S2는 Arithmetic 연산과 Logic 연산의 출력을 결정하여 최종 출력. • Cin은 Arithmetic 연산을 수행하는 동안 여러 가지 연산 수행.

  16. 디지털 시스템 설계 과정 2. 순차 논리 회로 설계 • 설계된 Selection 회로도

  17. 디지털 시스템 설계 과정 3. 설계된 회로도 • 설계한 각 부분의 회로를 하나의 회로도로 설계 • 4bit 버스선를 이용하여 X[0..3]까지 입력을 결정. • Selection에 의해 수행해야 할 연산을 선택 • Arithmetic, Logic, Shift 회로에서 연산. • 결과 값을 QUAD_MUX에 의해서 출력.

  18. 디지털 시스템 설계 과정 3. 설계된 회로도 • 설계한 각 부분의 회로를 하나의 회로도로 설계

  19. PSPICE Simulation 1. PSPICE simulation • 완성된 회로의 simulation • 입력 A, B를 각각 4, 1로 하고 Selection에서 14가지 연산에 대한 값을 • 14진 카운터로 만들어내고 Selection이 변화함에 따라 각각의 연산을 • 수행한다.

  20. PSPICE Simulation 2. PSPICE simulation result • 완성된 회로의 simulation 결과

  21. 하드웨어제작 1. 하드웨어 제작 • Arithmetic unit 제작 • 4× 1Multiplexer와 Full Adder로 구성

  22. 하드웨어제작 1. 하드웨어 제작 • Logic unit 제작 • 4× 1Multiplexer와 AND, OR, XOR, NOT 게이트로 구성

  23. 하드웨어제작 1. 하드웨어 제작 • Shift unit 제작 •  2× 1Multiplexer로 구성(2× 1Multiplexer게이트로써 구성)

  24. 하드웨어제작 1. 하드웨어 제작 • QUAD_MUX unit 제작 •  4× 1Multiplexer로 구성

  25. 하드웨어제작 1. 하드웨어 제작 • Selection unit 제작 • D플립플롭, AND, OR게이트로 구성

  26. 하드웨어제작 2. 전체 하드웨어 제작 • 4Bit ALU unit 제작 • 위에서 제작한 각 부분을 조합하여 ALU unit 제작 • 4단 QAUD_MUX unit, 3단 Arithmetic unit, 2단 Shift unit, 1단 Logic unit, 스위치회로 • 전체 하드웨어 제작 모습

  27. 결과고찰 • Arithmetic, Logic, Shift, Selection, QUAD_MUX 5가지 • 부분으로 나누어서 생각 하면서 각각의 세부회로를 구성. • 회로 설계 중 산술, 논리 연산 부분은 Mutiplexer, Full Adder, • 게이트로써 설계하였는데 강의시간에 언급되었던 사항을 기초로 • 하여 설계하는데 도움이 되었다. • 앞으로는 곱셈, 나눗셈 연산을 추가하고 메모리에 저장하는 기능도 • 추가해서 제작을 해보면 더 좋은 경험이 되리라 생각된다.

  28. Thank you

More Related