1 / 14

ITRS 2005 - Environmental, Safety and Health Chapter

ITRS 2005 - Environmental, Safety and Health Chapter. Jim Jewett, Intel. ITRS Conference, San Francisco, California. July 13, 2005. ITRS Conference ESH Chapter Participants. ESIA – Hans-Peter Bipp (Infineon) JSIA – Takayuki Oogoshi (NEC) – Tetsu Tomine (Epson) SIA – Jim Jewett (Intel)

randy
Download Presentation

ITRS 2005 - Environmental, Safety and Health Chapter

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ITRS 2005 - Environmental, Safety and Health Chapter Jim Jewett, Intel ITRS Conference, San Francisco, California July 13, 2005

  2. ITRS ConferenceESH Chapter Participants • ESIA – Hans-Peter Bipp (Infineon) • JSIA – Takayuki Oogoshi (NEC) – Tetsu Tomine (Epson) • SIA – Jim Jewett (Intel) – Walter Worth (Sematech)

  3. Agenda • Difficult Challenges • ESH Technology Engagement Model • Key ESH Focus • Supplemental ESH Tools

  4. ESH Difficult Challenges(2005 Proposed) • Chemical and Materials Management • Process and Equipment Management • Facilities Energy and Water Optimization • Sustainability and Product Stewardship

  5. Chemical and Materials Management • New chemical assessment • Chemical data collection • Chemical exposure management

  6. Process and Equipment Management • Chemical reduction • Environment management • Water and energy conservation • Consumables optimization • By-products management • Chemical exposure management • Equipment ergonomics • Design for maintenance

  7. Facilities Energy and Water Optimization • Conservation [energy and water] • Heat removal • Global warming emissions reduction

  8. Sustainability and Product Stewardship • End-of-life disposal/reclaim • Product content/material declaration • Design for ESH • Process chemistries • Device power requirements • Supply chain issues

  9. ESH/Fab Technology Engagement Model - Minimal flexibility to develop alternative material ESH solutions - Equipment/Chemical set defined and characterized Ability to Effect ESH Improvements Universities and Government Labs Optimum Time to effect changes in ESHtechnology Tools External Research Supplier R&D Process Development Manufacturing Ramp 6 4 2 0 Research Commercialization Phases Demonstration Ramp to HVM Source: Intel YEARS to High Volume Manufacturing

  10. Proof of Concept Material Development Winnow Options Bgn Mat/Supl Selct Mat/Supl Selct Ramp to HVM Technology Development/ESH Intersect Model Optimum lead-time to anticipate impacts & identify ESH improvement needs Where we operate today Ability to Effect ESH Improvements External Research Supplier R&D Process Development Manufacturing Ramp 6 4 2 0 Source: Intel YEARS to High Volume Manufacturing

  11. Key ESH Focus • Lithography • PFOS alternatives development • Energy requirements • Immersion Lithography (Types of fluids and additives) • Factory Integration • Impact of 450 mm tools • POU abatement • Concentration of pollutants due to water conservation • Interconnect • CMP consumables reduction • New deposition process materials • Front End Processing • Process Complexity • Increase in aqueous cleaning/stripping requirements • New and novel materials

  12. Key ESH Focus (Cont’d) • Emerging Research Materials • Nanotechnology ESH research needs • Yield • Water conservation may impact yield • Assembly & Packaging • Product content • PFC use

  13. Supplemental Files • Two overriding issues regarding chemicals and materials: • Selection • Utilization • Reference Files • Chemical Restrictions • Materials of Concern • Codes, Standards and Permits

  14. Potential Chemical Restrictions Table Items are listed in red if the restriction potential applies only if the material is contained in the final product, whereas process use of the material may be acceptable if it is not contained in the final product. Items listed in blue are those which have restrictions if the material is simply used in the process, but a different level of restriction if included in the final product. Items listed in black have the same restriction level regardless of whether its included in the final product. The tool is meant to focus only on the potential for legal limitations on the use of a substance, and is not meant to substitute for the broader risk assessment or for the more thorough EHS review performed as part of chemical use approval. DRAFT – Work In Progress - NOT FOR PUBLICATION 13 July 2005

More Related