1 / 4

NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures. Andreas Prodromou , Andreas Panteli , Chrysostomos Nicopoulos , Yiannakis Sazeides. Wrong is NOT Always Illegal.

neorah
Download Presentation

NoCAlert : An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures Andreas Prodromou, Andreas Panteli, ChrysostomosNicopoulos, YiannakisSazeides

  2. Wrong is NOT Always Illegal • In Networks-on-Chip, checking for legality is the same as checking for correctness. Let the values be wrong. As long as they are legal… • But checking for legality is much simpler • Extremely fast and efficient fault detection • Instantaneous detection

  3. Invariance Checking • How to achieve this: Invariance Checking • Inputs and outputs of the main components are constantly monitored • Extremely lightweight solution • 3% Area Overhead • 0.7% Power Overhead • 1% Delay Overhead • Scales efficiently to more complex designs

  4. Where and When • 14:30 – 15:00 • Section IB – Fault Tolerance We would be glad to see you there

More Related